CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦发生器

搜索资源列表

  1. boxingfashengqi

    1下载:
  2. 这是我用VC++编写的一个基于PC机的任意函数信号发生器的上位机程序,并附上了下位机程序。实践表明能产生幅度和频率可调的正弦波,方波,三角波等。-This is what I use VC++ to prepare a PC-based signal generator, arbitrary function of the host computer program, together with procedures for the next crew. Practice shows that
  3. 所属分类:GUI Develop

    • 发布日期:2014-09-20
    • 文件大小:4125837
    • 提供者:libin
  1. DDS

    0下载:
  2. dds 正弦信号发生器步进100HZ 最高频率可达900kHZ 最低频率可大2.3Khz-dds signal generator sin walingbeam 100HZ
  3. 所属分类:SCM

    • 发布日期:
    • 文件大小:989114
    • 提供者:lin65505578
  1. danpianjikeshe

    0下载:
  2. 单片机课设无与伦比的厉害,有关信号发生器,产生正弦,三角等,还有代码,还有lcd显示。虽然较搓,单参考价值还是很大的-this is a very very cha cha
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:657492
    • 提供者:tushaoping
  1. dds

    0下载:
  2. 基于fpga的函数发生器设计通过fpga实现正弦波输出-基于fpga的函数发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:62147
    • 提供者:江孝栋
  1. DAC0832

    0下载:
  2. 设计一个函数信号发生器,具体指标如下: 1 采用AT89S51及DAC0832设计函数信号发生器; 2 输出函数信号为正弦波或三角波或阶梯波; 3 输出信号频率为100Hz,幅度0-10V可调; 4 必须具有信号输出及外接电源、公共地线接口 -Design a function signal generator, the specific indicators as follows: 1 using AT89S51 and design function signal ge
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:593071
    • 提供者:郭晨
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. xinhao1

    0下载:
  2. 采用AVR单片机编写的正弦信号、方波信号、三角波信号发生器。使用PWM调制方式进行调制后再解调通过RC滤波器滤出信号。-AVR prepared using single-chip sinusoidal signal, square-wave signal, triangular wave signal generator. The use of PWM modulation modulation through the RC filter after the demodulation filt
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:34317
    • 提供者:刘建文
  1. chh

    0下载:
  2. 信号发生器,可以产生,多种波形,正弦波,方波,锯齿波等波形,可以实现调频,调幅-Signal generator, can produce a variety of waveforms, sine wave, square wave, sawtooth wave, etc., can be FM, AM
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-31
    • 文件大小:127435
    • 提供者:chenhaohan
  1. sin

    0下载:
  2. 在quartusII里实现的正弦波发生器,是一个比较简单的实现方法适合初学quartusII 高手勿下-QuartusII achieved in the sine wave generator is a relatively simple method not suitable for novice players under quartusII
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:501967
    • 提供者:留心
  1. xinhao.c

    0下载:
  2. dds信号发生器程序,可以实现70Mhz的正弦波发生-dds c program
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2031
    • 提供者:龙天
  1. zju-61MCU-code

    0下载:
  2. 本文件夹包含浙江大学信电系暑期电子设计培训时以及校赛时的几个项目的代码,包括波形发生器,集成运算放大器,简易数字显示声音信号采集测量仪的设计,数码管红外遥控显示,温度测量PWM模拟控制LED等。采用的单片机为凌阳SP061A单片机。-This folder contains a letter, Zhejiang University, Department of Electrical Electronic Design training in the summer and school even
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:723762
    • 提供者:金心宇
  1. cz

    0下载:
  2. 适用易做的正弦波,矩形波,三角波信号发生器,-Application easy to be a sine wave, rectangular wave, triangle wave signal generator,
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:77539
    • 提供者:cz
  1. zhengxuanxinhao

    0下载:
  2. 这是一个正弦信号发生器得总程序,是用51单片机实现的,控制ad9852,-This is a sinusoidal signal generator was the total program, is 51 single-chip microcomputer, the control of ad9852,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2016-11-10
    • 文件大小:52295
    • 提供者:jiangbo
  1. example10

    0下载:
  2. 利用直接数值合成 DDS 原理驱动 dac0832 实现正弦波输出。 输出可以通过示波器观察。-The use of direct numerical synthesis of theory-driven dac0832 achieve DDS sine wave output. Output can be observed through the oscilloscope.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28404
    • 提供者:panda
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. FASHENGQI

    0下载:
  2. 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。-Function Generator according to different purposes, there have three or more of the waveform function g
  3. 所属分类:Document

    • 发布日期:2017-05-10
    • 文件大小:2393868
    • 提供者:许名松
  1. key2

    0下载:
  2. FPGA单片机 vhdl编程 正弦波信号发生器 加2个按键控制频率加减-FPGA Microcontroller vhdl programming sine wave signal generator plus two buttons control the frequency of addition and subtraction
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:1062
    • 提供者:洪理梦
  1. DDS

    0下载:
  2. 采用DDS实现数字信号发生器, 时钟频率为100MHz,可输出1K到10M的正弦波-use Direct Digital Synthesizer realize SINA wave
  3. 所属分类:SCM

    • 发布日期:2017-05-26
    • 文件大小:8891175
    • 提供者:LiXiuRong
  1. duobo

    0下载:
  2. 使用dspbuilder中的宏模块设计多功能信号发生器,如正弦波,三角波,方波-Use dspbuilder macro module design multi-function signal generator, such as sine wave, triangle wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:514646
    • 提供者:cpuboy
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
« 1 2 3 4 5 67 8 9 10 11 ... 48 »
搜珍网 www.dssz.com