CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 涓插苟杞

搜索资源列表

  1. seri-para

    1下载:
  2. 串行数据经过串并转换成4位并行数据输出,而后再经过并串转换成串行数据输出,输出与输入相同,只是有延时-After the serial data string and convert it into a 4-bit parallel data output, and then convert the string through and the serial data output, the output and input the same, but delayed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-09-22
    • 文件大小:187597
    • 提供者:王宇
  1. readme_vhd

    0下载:
  2. VHDL串并转换源程序,可以实现信号在串行和并行间的转换。-SERDES VHDL source code, you can achieve signal at between serial and parallel conversion.
  3. 所属分类:MPI

    • 发布日期:2017-04-11
    • 文件大小:802
    • 提供者:kimli
  1. chuanbingzhuanhuan

    0下载:
  2. 这个并串转换代码是依靠同步状态机来实现其控制的。其实并串转换在实际的电路中使用还是比较多的,尤其在通信线路方面的复用和分解方面,原理上就是一个串并转换和并串转换的过程。举个简单的例子,计算机串口发送数据的过程,如果满足发送条件了,其实就是一个并串转换的过程了。好了,废话不说,看代码就是。 -And the string conversion of the code is relying on the synchronization state machine to achieve its c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1009
    • 提供者:盛忠良
搜珍网 www.dssz.com