CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电子时钟

搜索资源列表

  1. jiaotongdeng

    1下载:
  2. 2009年8月12日 ... [ggaoden.rar] - 基于AT89C51系列单片机的按键识别的C51程序广告灯的左移右移 ... [ clock.rar] - 多功能数字时钟设计,用proteus仿真实现! [8951clock.rar] - at89c51作的 一个时钟程序和电路,可作为电子时钟设计的参考,用c51写的程序,protel99se ..... · C8051f020是基于51核的业界8位单片 ·IC卡的读取源程序,包括源代码. ... -there are daima
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:54875
    • 提供者:jialei
  1. dianzishizhong

    0下载:
  2. 任务: 应用一个接口技术设计一个综合键盘和数码管的电子时钟,用键盘控制时钟、修改时间 完成硬件电路原理图的设计 完成软件流程的设计 完成程序清单的设计 要求: 1. 使用8051单片机 2. I/O扩展使用74LS273 3. LED数码管动态显示6位 4. 3*8键盘 5. LED的显示、键盘的扫描用实时中断完成 6. 使用protel绘制电路原理图-Task: The technical design of an interface of a
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:653903
    • 提供者:庆庆
  1. billdanpianjisheji

    0下载:
  2. 单片机电子时钟 闹钟功能 任意键关闹钟 单片机电子时钟 闹钟功能 任意键关闹钟-SCM electronic clock alarm clock off any key
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:2264
    • 提供者:bills
  1. Electronic-clock

    0下载:
  2. 电子时钟设计,整体设计方案及其各部分电路实现的一些功能 -Electronic clock, the overall design and its part of the circuit
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:204767
    • 提供者:maimai
  1. 电子时钟显示日历

    0下载:
  2. 这是一个电子时钟程序,里面有显示时间子程序,还有调整,键盘等子程序!--An electronic clock program. It includes subprogramms for displaying time and adjusting keyboard.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1459
    • 提供者:王小京
  1. 数电电子时钟设计

    0下载:
  2. 一个课程设计,电子时钟。两种方法设计的电路,具有参考价值,为其他同学提供资料
  3. 所属分类:文档资料

  1. 电子时钟程序完整代码

    0下载:
  2. 开发电子时钟()
  3. 所属分类:其他

  1. 电子时钟

    0下载:
  2. 在51单片机上实现一个小应用,电子时钟功能的完善,还可以进行计时的功能(In 51 single-chip microcomputer on a complete small applications)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-25
    • 文件大小:14336
    • 提供者:KyleTrigger
  1. 汇编语言 电子时钟

    0下载:
  2. 实现电子时钟功能。一个功能按键,一个加键,功能按键控制年月日与时分秒切换,加键控制时分秒的加减。(Realize electronic clock function. A function key, a key, key control function and switch date and time, and every minute add key control.)
  3. 所属分类:汇编语言

    • 发布日期:2017-12-24
    • 文件大小:1024
    • 提供者:poppy123
  1. 电子时钟

    1下载:
  2. 次文件设计了一个电子时钟,用于初学者的学习,进攻参考。(Sub file design of an electronic clock, for beginners learning, offensive reference.)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-24
    • 文件大小:52224
    • 提供者:15094076931
  1. 电子钟

    0下载:
  2. 电子时钟,使用51单片机可以实现记时,定时的目的(The use of 51 single-chip electronic clock, can achieve timing, timing purpose)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-21
    • 文件大小:31744
    • 提供者:请问让他
  1. 电子时钟-task3

    0下载:
  2. 用1602做个液晶时钟,第一行显示年-月-日,第二行显示时-分-秒; 通过矩阵键盘上的按键K1按下,此时时钟停止, K1按一下,光标移动到秒位,两下移到秒十位……光标随着移动到时-分-秒,年-月-日上, 按下K2,K3增加,减小更改光标所在位置上的数值; 按下K4启动时钟(Make a liquid crystal clock with 1602, the first line shows the year - month - day, the second line display -
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-25
    • 文件大小:46080
    • 提供者:First flying
  1. 电子时钟

    0下载:
  2. 51单片机控制DS1302实现数字万年历,可调整时间,日期等(Single chip calendar, adjustable time)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-28
    • 文件大小:175104
    • 提供者:苏大胡工
  1. zx

    0下载:
  2. 电子时钟,具有校时校分、设定闹钟、计时的功能(The electronic clock has the function of adjusting time, setting alarm clock and timing)
  3. 所属分类:其他

  1. MFC表盘式时钟vs2010

    0下载:
  2. 使用MFC制作的表盘式时钟,功能有:1.表盘时钟与电子时钟双显示 2.时钟时间跟随系统 3.手动设置时钟时间 4.主题切换及其他美化。 采用多线程。内附简要说明(The clock dial made by MFC features: 1. double display of dial clock and electronic clock 2. clock time is following system 3.manually set clock time 4. theme switchi
  3. 所属分类:Windows编程

    • 发布日期:2017-12-28
    • 文件大小:82210816
    • 提供者:CindyGreen
  1. 51电子时钟源代码

    0下载:
  2. 基于51单片机电子时钟设计系统,可以准确的实时的显示时间。用LCD1602显示,时钟芯片DS1302.(Based on 51 single-chip electronic clock design system, can accurately display the time in real time. Display clock chip DS1302. with LCD1602)
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:65536
    • 提供者:jack0008
  1. 例程源代码

    0下载:
  2. 采用单片机技术实现多功能电子时钟。本设计应用AT89C51芯片作为核心,7位LED数码管显示,使用DS1302实时时钟(The multi function electronic clock is realized by using single chip computer technology. This design uses AT89C51 chip as the core, 7 bit LED digital tube display, use DS1302 real time cloc
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:38912
    • 提供者:lidajiad
  1. 12864界面

    0下载:
  2. 给予51单片机的电子时钟,可显示时间,农历,节日,温度等,还有多种界面选择(The electronic clock of 51 singlechip can show time, lunar calendar, festival, temperature and so on, and there are many kinds of interface choice.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:46080
    • 提供者:pst0926
  1. clock

    1下载:
  2. 自己开发的电子时钟小程序,通过数码管显示时间,key1和key2控制校时校分,key3切换时钟模式和闹钟模式,切换到闹钟模式再按key1和key2即可设定闹钟时间。key4控制开启/关闭闹钟。有整点报时功能。(Self developed electronic clock applet, through the digital tube display time, key1 and key2 control time correction, Key3 switch clock mode and
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:9338880
    • 提供者:军犬
  1. 89c51

    0下载:
  2. 基于STC89C51的电子时钟设计 包含原理图和测试代码(The design of electronic clock based on STC89C51 includes schematic diagram and test code)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-10
    • 文件大小:199680
    • 提供者:acchrisrose
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com