CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电路设计

搜索资源列表

  1. Luat看门狗电路参考设计V1.0.pdf

    0下载:
  2. luat平台看门狗电路设计指南,能够使用硬件方式保证air200模块运行的稳定性。(Luat platform watchdog circuit design guide, can use hardware to ensure the stability of air200 module operation.)
  3. 所属分类:开源硬件

    • 发布日期:2017-12-20
    • 文件大小:74752
    • 提供者:jazzthinking
  1. PADS9.5实战攻略与高速PCB设计

    1下载:
  2. 详细介绍了利用PADS 9.5 实现原理图与PCB设计的方法和技巧。配合大量示意图,介绍了印制电路板设计流程和常用电路模块的PCB处理方法(The method and technique of using PADS 9.5 to realize schematic diagram and PCB design are introduced in detail. With a large number of schematic diagrams, the design process of pr
  3. 所属分类:单片机开发

    • 发布日期:2017-12-16
    • 文件大小:44460032
    • 提供者:Grace_jq
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow advertising lights Engineering
  3. 所属分类:嵌入式/单片机编程

  1. CITS25

    0下载:
  2. PCB电路设计 RF天线设计 RF阻抗设计及计算软件(PCB circuit design, RF antenna design, RF impedance design and calculation software)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:834560
    • 提供者:10593880
  1. High-Speed_Digital_System_Design(chiness)

    0下载:
  2. 随着通信的发展,对高速数字电路的要求越来越高。无线通信的基础。通信工程师必备(With the development of communication, the requirement of high speed digital circuit is higher and higher. Fundamentals of wireless communication.)
  3. 所属分类:网址推荐

  1. 射频与微波功率放大器设计

    0下载:
  2. 学习射频电路,如振荡器、放大器、混频器等的必备书籍(Learning RF circuits, such as oscillators, amplifiers, mixers and other essential books)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-27
    • 文件大小:8076288
    • 提供者:xiaotao_2017
  1. 汽车水温表设计

    0下载:
  2. 课设任务:汽车水温表的设计,合理运用proteus平台搭建电路,实现功能(Lesson setting task: Design of automobile water temperature meter)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:28672
    • 提供者:xt123
  1. 4Bit超前进位加法器门级电路设计与仿真

    2下载:
  2. 用门级网表的方法对4Bit超前进位加法器门级电路连接关系用verilog语言进行描述(The connection relation of the gate level circuit of 4Bit carry adder is described in Verilog language with the method of gate level netlist)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:150528
    • 提供者:tingyumian
  1. 永磁同步电机逆变器的设计

    0下载:
  2. 永磁同步三相交流电逆变器电路设计和VHDL设计(Circuit design and VHDL design of permanent magnet synchronous three phase alternating current inverter)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:80896
    • 提供者:火龙果5700
  1. 总体电路

    0下载:
  2. 通信电路课程设计,收音机制作,用multisim仿真(Communication circuit course design, radio production, using Multisim Simulation)
  3. 所属分类:其他

  1. 遥控器接收解码电路

    3下载:
  2. 设计遥控器接收解码电路。该电路接收编码后的串行数据,解码输出数据。电路接收 到的串行数据的格式为: 4 位同步码“ 1010”, 4 位数据(高位在前), 1 位奇校验码(对前 8 位数据校验)(Design of remote control receiver decoding circuit. The circuit receives the encoded serial data and decodes the output data. The format of the serial
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:172032
    • 提供者:yuguofang
  1. paidui

    0下载:
  2. 排队电路设计,适用于EDA大作业,大学生适合使用,初学者,仅仅是vhdl的语言,可以借鉴(Queuing circuit design, suitable for EDA operation, college students suitable for use, beginners, only the language of VHDL, can learn from)
  3. 所属分类:网络编程

    • 发布日期:2018-01-08
    • 文件大小:6144
    • 提供者:初学者9698
  1. 燃料电池汽车仿真电路设计SIMULINK

    9下载:
  2. 基于燃料电池汽车设计的仿真模型,包含燃料电池模块与整车模型。(Simulation model based on the design of fuel cell vehicle)
  3. 所属分类:交通/航空行业

    • 发布日期:2018-01-09
    • 文件大小:38912
    • 提供者:kk937
  1. 保护电路大全

    0下载:
  2. 电路浪涌保护原理设计,包括元器件参数选型(Design of circuit surge protection, including selection of component parameters)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-01
    • 文件大小:695296
    • 提供者:永恒heng
  1. TL431典型应用电路

    0下载:
  2. TL431的典型应用电路,对开关电源的开发,参考电压设计的有很好的帮助(The typical application circuit of TL431 has a good help for the development of switching power supply and the design of reference voltage.)
  3. 所属分类:文章/文档

    • 发布日期:2018-01-10
    • 文件大小:189440
    • 提供者:立飞吹箫
  1. 新建文本文档 (2)

    0下载:
  2. 用FPGA实现全自动洗衣机控制电路,采用Qutuas 9.0 和VHDL语言进行编程实现,可以进行仿真。(Realizing the control circuit of full automatic washing machine with FPGA)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:2048
    • 提供者:霍子
  1. 单片机C语言程序设计实训100例

    0下载:
  2. 电路的连接图,程序都有注释,仿真平台protues,适合初学的人(The connection diagram of the circuit, the program has the annotation, the simulation platform Protues, suitable for the first student)
  3. 所属分类:硬件设计

    • 发布日期:2018-04-19
    • 文件大小:1620992
    • 提供者:鼓励鼓励
  1. 电路CAD课程设计-简易频率计

    0下载:
  2. 关于简易频率计的课程设计,讲述了设计原理及设计步骤。(The curriculum design of the simple frequency meter)
  3. 所属分类:系统设计方案

    • 发布日期:2018-04-20
    • 文件大小:573440
    • 提供者:CherryMan
  1. 视力保护器设计

    1下载:
  2. 鉴于单片机芯片的智能处理功能,本设计采用理论和实验验证相结合的方法,采用以单片机STC89C52芯片为核心的主体电路。各单位电路进行设计并选择合适的元器件。在选择元器件时,要注意所选芯片的性价比。在设计整个电路时需要对各个单元电路进行相应功能的调试仿真。本次设计的研究内容是设计一个基于单片机控制的由测距、感光、定时和测量温度四大功能于一体的多功能视力保护器(可实现实时时钟显示)。它具有以下功能: 1.当使用者脸部距离写字台低于35cm时,“距离”灯闪亮,同时有报警声提醒使用者及时调整座姿。
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:274432
    • 提供者:LONGJIAN
  1. 50个典型电路实例详解(2017年最新总结)

    1下载:
  2. 50个典型电路实例详解,2017年最新总结,50个典型电路实例详解,对模电和电子电路设计很有好处。(The detailed explanation of 50 typical circuit examples, the latest summary in 2017, and the detailed explanation of 50 typical circuit examples are very beneficial to the design of the analog and ele
  3. 所属分类:文件格式

    • 发布日期:2018-04-21
    • 文件大小:1012736
    • 提供者:毛毛d
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com