CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 相位差测量

搜索资源列表

  1. dipin

    0下载:
  2. 主要实现对两列信号的相位差的精确测量并且数字显示结果.-Main achievement of the phase difference of two signals and the digital display accurate measurement results.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:199950
    • 提供者:连兴亚
  1. phase_measure

    1下载:
  2. 关于用FPGA测量数字信号源相位差的源代码。用的是verilog语言-FPGA on the use of digital signal phase difference measurement of the source code. Using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1698
    • 提供者:张君
  1. frequency-digital-phase-measuring-

    0下载:
  2. 低频数字式相位测量仪,数码管显示相位差,精度为0.1-Low frequency digital phase measuring instrument, digital pipe display phase difference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3288564
    • 提供者:覃祖枢
  1. DDSKeyAndLcd

    0下载:
  2. 基于SPCE061A的DDS 步进20Hz,两路输出,相位差可调,频率可到20多K,加上低通滤波,效果还不错.完全达到03年,电赛"相位测量仪"发挥部分波形发生器的要求. 程序中,频率初始值,相位差初始值通过键盘输入,液晶是KS0108的驱动芯片,如果液晶不一致,需要做相应的调整.-DDS base on SPCE061A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:80760
    • 提供者:
  1. high-precision-phase

    0下载:
  2. 高精度相位差计的设计,解决了现代电力并网两电网相位差的测量。-High-precision phase meter design to solve the modern electricity grid, the grid phase measurement....
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:550963
    • 提供者:樊晓博
  1. FFxiangweicha

    0下载:
  2. 基于傅里叶分析的信号延时测量,采用FFT方法,对同一频率的两个信号进行频谱分析,测得相位差-Delay measurements based on Fourier analysis of signals using the FFT method for spectral analysis of the two signals of the same frequency, the measured phase difference
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:11167
    • 提供者:sunzhenyong
  1. FFT_WINDOW_NEW

    0下载:
  2. 快速傅里叶变换法测量两列正弦信号的相位差。-FFT measurement phase
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:731
    • 提供者:旭阳
  1. Frequency-phase-measuring-instrument

    0下载:
  2. 实现两路同频不同相的信号频率和相位差的测量-Two-way with the frequency of the different phases of the signal frequency and phase measurement
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:309261
    • 提供者:刘欣
  1. cexiang

    6下载:
  2. 针对五单元圆型天线阵干涉仪测向系统,分别对等基线和不等基线的结构和相位模糊进行了分析;通过对多基线测量数据的加权处理提高测向精度,提出了权系数的选择方法和测向信号处理算法,其中包括相位差估计、角度变换、解模糊和接收通道误差校正等;计算机仿真结果表明两种结构基线的测向精度非常接近,在全方位、超短波频段内的最大测向误差小于0.10。-eee
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:17213
    • 提供者:张散
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. Autocorrelation

    0下载:
  2. 基于labview的多重自相关算法测量低信噪比条件下两路正弦波的相位差-Based on the phase difference between two sine wave labview multi the autocorrelation algorithm measuring low signal-to-noise ratio conditions
  3. 所属分类:LabView

    • 发布日期:2017-11-08
    • 文件大小:22697
    • 提供者:罗知亮
  1. Cross-correlation

    2下载:
  2. 基于labview8.6的FFT法测量低信噪比条件下两路方波信号的相位差,经测试,幅值测量精度和相位测量精度都很高-Based labview8.6 FFT method to measure the phase difference between the two square wave signals in low signal-to-noise ratio conditions, test, amplitude measurement accuracy and phase measurem
  3. 所属分类:LabView

    • 发布日期:2017-11-08
    • 文件大小:52490
    • 提供者:罗知亮
  1. Hilbert

    0下载:
  2. 基于labview8.6的希尔伯特变换高精度测量低信噪比条件下两路正弦波相位差-Labview8.6 based Hilbert transform high-precision measurement of the sine wave phase difference of the two low SNR
  3. 所属分类:LabView

    • 发布日期:2017-11-08
    • 文件大小:27875
    • 提供者:罗知亮
  1. xiangpintexin

    0下载:
  2. 本作品以MSP430单片机为核心, 基于DDS原理,通过查表方式输出步进量为10HZ,频率为100HZ~2KHZ的正弦波。两路被测信号通过过零比较、异或和低通滤波整流,实现了对相位差的测量,误差小于-This works with MSP430 microcontroller as the core, based on DDS principle, by look-up table output step sizes for the 10HZ, frequency of 100HZ ~ 2KHZ
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:652248
    • 提供者:张远进
  1. Adjustment

    0下载:
  2. 测量平差程序设计—宋力杰,一书的源代码,搞GPS高精度载波相位差分的人士可以下载下来,里面有一些可以参考的代码-Measurement adjustment program design- Song Lijie, a book of the source code, to engage in high-precision GPS carrier phase people can download it, there are some you can refer to the code
  3. 所属分类:DSP program

    • 发布日期:2017-11-10
    • 文件大小:2743513
    • 提供者:wujianzhang
  1. PHA

    0下载:
  2. Verilog编写的两路信号的相位测量相关内容,可计算两路信号的相位差,及当前频率-Verilog prepared by the two-way signal phase measurements related content, calculate the phase difference between two signals, and the current frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:748
    • 提供者:常艺
  1. phase

    0下载:
  2. DSP2407测量两路方波信号的相位差,测相精度在10的-4次方度-dsp2407 measure the phase difference between two signal
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:304207
    • 提供者:于亮
  1. myFPGA

    1下载:
  2. FPGA芯片测量两路信号的相位差,将相差信号以脉冲数的形式发出-FPGA measure the difference between two signals’signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3573426
    • 提供者:于亮
  1. PhaseGeniometer

    2下载:
  2. 干涉测向仪通过测量入射波到达天线阵列中单元天线之间的相位差,决定入射波的入射角-Interferometer by measuring the incident wave direction finder antenna array element antenna reaches the phase difference between the incident angle of incidence of the decision
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:2149
    • 提供者:仲笙
  1. phasegeniometer_mcc_component_data

    0下载:
  2. 干涉测向仪通过测量入射波到达天线阵列中单元天线之间的相位差,决定入射波的入射角-Interferometer by measuring the incident wave direction finder antenna array element antenna reaches the phase difference between the incident angle of incidence of the decision
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1796
    • 提供者:仲笙
« 1 2 34 »
搜珍网 www.dssz.com