CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. mutl16 实现16位移位乘法和除法

    1下载:
  2. 实现16位移位,可以实现乘法和除法。满足设计要求,实现代码简短,用verilog完成方便,容易操作。-Achieve 16-bit shift, multiplication and division can be achieved. Meet the design requirements to achieve a short code, complete with verilog convenient, easy to operate.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-26
    • 文件大小:1579
    • 提供者:吴海勇
  1. caculator.rar

    0下载:
  2. 多功能计算器 1.支持2进制,10进制,16进制数的加减乘除 2.支持2进制,10进制,16进制数的相互转化 3.支持2进制,10进制,16进制数的按位逻辑运算(与,或,同或,异或) 4.支持2进制数的移位和循环移位 ,1 multi-function calculator. 2 Supported hexadecimal, 10 hexadecimal, 16 hexadecimal number of addition and subtraction, multiplication
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-25
    • 文件大小:2637
    • 提供者:li
  1. calculator.rar

    0下载:
  2. 二进制、十进制、十六进制数字的四则运算及转换,以及二进制的移位操作,Binary, decimal, hexadecimal digits of the four operations and conversion, as well as the binary shift operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:22163
    • 提供者:eefamily
  1. shifter.rar

    0下载:
  2. verilog实现的“并行输入、并行输出移位寄存器”,verilog to achieve a " parallel input, parallel output shift register"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:526
    • 提供者:王先生
  1. par_serial-and-serial_par-VHDL

    0下载:
  2. 并入串出移位寄存器和8路并行输出串行移位寄存器的VHDL代码,经Quartus II 5.1验证可用,String into a shift register and 8-way parallel output serial shift register of the VHDL code, the Quartus II 5.1 can be used to verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1006
    • 提供者:随风
  1. HexCalc.rar

    0下载:
  2. 十六进制计算器,包括加减乘除和移位等位运算,Hexadecimal calculator, including the addition and subtraction and multiplication and division, such as bitwise shift
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-01
    • 文件大小:11246
    • 提供者:zzz
  1. 74ls164.rar

    0下载:
  2. 74HC595是具有8位移位寄存器和一个存储器,三态输出功能。,74HC595 is an 8-bit shift register and a memory, three-state output.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:100117
    • 提供者:zhangqiang
  1. multiplyingunit

    0下载:
  2. 其乘法器原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位-Its multiplier principle is: the sum of multiplication through each shift principle to achieve, from the lowest bit multiplicand to start, if 1, then the multiplier on the l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:137159
    • 提供者:张华
  1. left_shift_register

    0下载:
  2. 用EDA实现的一个带有同步并行预置功能的8位左移移位寄存器-With the EDA to achieve a preset function in parallel with synchronous 8-bit left shift register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:147383
    • 提供者:哈哈
  1. 易支持库创建--移位扩展库

    0下载:
  2. 本程序旨在讲解如何用Delphi创建一个可以在易语言中执行的支持库,并给出了一个实用的汉字取模的易程序, 1.支持库为标准DLL格式,扩展名为fne. 在其中实现了移位操作(Delphi7+WinXp通过) 2.在易语言中导入此支持库(复制TaoLibShift.fne)到易语言的LIB目录下,然后才可以执行 [诺亚点阵汉字提取器.e] 3.输出的格式有 ASM和C两种格式,可以直接应用于8x8点阵LED,-This procedure aims to explain how to use De
  3. 所属分类:系统编程

    • 发布日期:2017-11-09
    • 文件大小:970822
    • 提供者:江涛
  1. shiftdata

    0下载:
  2. 双向移位寄存器的VHDL源程序,自己做实验编写的可以用 谢谢大家-Bi-directional shift register of the VHDL source code, prepared by their own experiments can be used Thank you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:918
    • 提供者:朱武增
  1. OPERATION_UNIT

    0下载:
  2. 本程序为加密芯片内部加密运算单元部分,包括32位减法器、移位寄存器、加/减法器、寄存器等,对密码芯片运算部分设计具有一定指导意义-The procedure for encryption chip unit internal encryption algorithms, including 32-bit subtraction, and shift register, add/subtraction, and register and so on password-chip design has
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2363
    • 提供者:zhaohongliang
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. ShlTest

    0下载:
  2. 一个非常小的程序,但却毫不简单.程序使用了Windows的内存越权读写API.实现了对程序自身代码的内存中动态修改,可以高效执行任意指令.例子中动态修改了一个没有意义的空函数,实现了VB不可能编译出来的移位指令.-A very small program, but not simple. Procedures for the use of Windows to read and write memory ultra API. Realize their own code of procedure
  3. 所属分类:assembly language

    • 发布日期:2017-04-25
    • 文件大小:12382
    • 提供者:gbcgbc
  1. VHDL_exmple

    0下载:
  2. VHDL编程一百例,包括加法器、乘法器、移位寄存器、奇偶校验器等。pdf格式的,仅供学习使用-VHDL Programming 100 cases, including the adder, multiplier, shift register, parity, etc.. pdf format, for learning to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6634283
    • 提供者:
  1. UART_receiver

    0下载:
  2. 通用串口收发器的移位寄存器 是verilog hDl编写-uart_reg
  3. 所属分类:Com Port

    • 发布日期:2017-04-14
    • 文件大小:3305
    • 提供者:杨立海
  1. 74HC595

    0下载:
  2. 74HC595具有一个8位串行输入并行输出的移位寄存器和一个8位输出-74HC595 with an 8-bit serial input parallel output shift register and an 8-bit output
  3. 所属分类:assembly language

    • 发布日期:2017-04-12
    • 文件大小:1231
    • 提供者:新方法
  1. shift

    0下载:
  2. 该移位寄存器的功能是寄存输入数据,并在控制信号作用下将输入数据移位输出。-The function of the shift register are input data storage, and under the control signal at the input of data output shift.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:39510
    • 提供者:伍家香
  1. shuzigundong

    0下载:
  2. 实现多个数字滚动,移位显示,当按下某个按键时,会将对应于端口的数值送入低位数码管显示-To achieve more than the number of rolling, shift display
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:14163
    • 提供者:及格
  1. crypt

    0下载:
  2. 实现三种加密解密算法:1、 简单的移位算法;2、 基于矩阵的置换算法;3、 Des算法;-Encryption and decryption algorithm for the realization of the three: 1, a simple shift algorithm 2, the replacement algorithm based on the matrix 3, Des algorithm
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-09
    • 文件大小:2024022
    • 提供者:空凌
« 1 23 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com