CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. AutoSell

    0下载:
  2. C++模拟的超市自动售货机,运行于模拟机,命令提示符状态。完成的功能很简单,C++爱好者可以玩玩。-C++ simulation of supermarket vending machines, running on the simulator, the status of the command prompt. Completion of the function is very simple, C++ enthusiasts can play.
  3. 所属分类:Applications

    • 发布日期:2017-04-07
    • 文件大小:7430
    • 提供者:106
  1. sellandsong

    0下载:
  2. 自动售货程序,用verilog实现,用于自动售货机的用途。还有一个歌曲的程序-Auto sales process, with the realization of verilog for the use of vending machines. There is also a program of songs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1052
    • 提供者:qian
  1. DGS_TRANSMIT_3

    0下载:
  2. 自动售货机 掉货检测系统 接收端 原代码  at89s52-Vending machine products out the receiving end detection system source code at89s52
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:26390
    • 提供者:ronnie
  1. goodsdrops_TRANSMIT

    0下载:
  2. 自动售货机 掉货检测系统 发射端 at89s52-Goods vending machines out detection system transmitter at89s52
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:27112
    • 提供者:ronnie
  1. goodsdrops_RECEIVER

    0下载:
  2. 自动售货机 掉货检测系统 接收端最新修改 原代码 -Goods vending machines out detection system to receive the latest amendments to the original client code
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:44815
    • 提供者:ronnie
  1. vhdlvhdlvhdlVendingmachines

    0下载:
  2. 自动售货机带找零,退币功能,是用vhdl语言编写-Vending machines give change to bring back the functional currency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3631
    • 提供者:momomo
  1. autoshop

    0下载:
  2. 一个简单的自动售货机的程序,20种商品,2种价格。-A simple procedure for vending machines, 20 kinds of commodities, two kinds of prices.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1993
    • 提供者:xixi
  1. Vending_machine

    0下载:
  2. 自动售货机VHDL程序与仿真,介绍了售货机的详细程序。-Vending machine VHDL simulation procedures and to introduce the detailed procedure of the machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:143873
    • 提供者:
  1. j_14402_zidongshouhuoji

    0下载:
  2. C++超市自动售货机模拟版源码类别:编程源码 - C/C++授权语言:共享软件 - 简体中文应用平台:windows - 无数据库发布时间:2009-7-11 23:29:36更新时间:2009-7-11 23:29:36-C++ supermarkets, vending machines, analog version of Source Category: Programming source code- C/C++ authorization language: Shareware- S
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-04
    • 文件大小:9066
    • 提供者:
  1. Vending_machine_simulation

    0下载:
  2. 自动售货机VHDL程序与仿真,功能包括货物信息存储,进程控制,硬币处理,余额计算,显示等功能-Vending machine simulation of VHDL procedures and functions, including cargo information storage, process control, coin handling, balance calculation, display and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:143401
    • 提供者:葛棋棋
  1. ATM

    0下载:
  2. 简易自动售货机应用程序,根据相应的提示进行操作-Vending Machine implementation
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:7318
    • 提供者:王景涛
  1. zidongshouhuoji

    0下载:
  2. 自动售货机的VHDL代码,包括选商品,出货,退货,找零等功能-The VHDL code for a vending machine, including the selection of goods, shipping, returns, Keep the change and other functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4750
    • 提供者:赵玲
  1. shouhuoji

    0下载:
  2. 自动售货机,投币自动售货 MaxplusII下运行-Vending machines, coin-operated automatic vending MaxplusII run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1736
    • 提供者:罗利娜
  1. lab_text

    0下载:
  2. EDA考试的五种题目编程,其中包括五人表决器,抢答器,乘法器,自动售货机等, 编译环境为ISE,程序语言VHDL-eda text ise vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1670789
    • 提供者:gaoshang
  1. autoseller

    0下载:
  2. 自动售货机的对象模型动态模型以及功能模型-Vending machine' s object model, dynamic model and functional model of
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:87161
    • 提供者:韩笑
  1. means3

    0下载:
  2. 编写一个程序模拟自动售货机。 可以通过向售货机中投入硬币来购买商品。用户从售货机商品列表选择某一商品并投入硬币。如果金额足够,则提供相应商品;如果金额不够,或者该商品已售完,则将硬币退回。维护售货机的工作人员可以为其添加商品或者取走售货款项。 -Write a program to simulate a vending machine. You can put coins in the vending machine to buy merchandise. The list of g
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1593
    • 提供者:zengming
  1. Vendingmachin

    0下载:
  2. 这是一个自动售货机的程序。属于原版资料。这里分享一下。供大家使用交流学习。-This is a vending machine program. Belongs to original data. Here to share. For everyone to use the exchange of learning.
  3. 所属分类:Compiler program

    • 发布日期:2017-05-09
    • 文件大小:1985166
    • 提供者:杨乐乐
  1. shouhuoji

    0下载:
  2. 使用文本方法编写的自动售货机的vhdl代码-Use a text methodology for the preparation of the vhdl code for a vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:35979
    • 提供者:小陈
  1. vend

    0下载:
  2. 自动售货机,根据所要的东西,自动收费,并进行找零-Vending machine, according to what you want to automatically charge and conduct Keep the change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1255
    • 提供者:xiaoyu
  1. saler

    0下载:
  2. 饮料自动售货机系统设计,实现了界面可视化-Beverage vending machine system design and implementation of the interface, visualization
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-06
    • 文件大小:390120
    • 提供者:李晶
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 20 »
搜珍网 www.dssz.com