CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:du***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. The.design.of.the.voting.machine

    0下载量:
  2. 表决器的设计 设计一个三人的表决器,其中有二人以上同意则投票通过。演示结合实验箱上A区、J区的LED及按键。工作过程如下:带锁的按键按下时,按键上的灯亮表示投票同意;按键松开时,灯熄灭表示投票反对;SW1-SW3这三个按键是3人的投票键,L1灯亮表示投票通过,且蜂鸣器响;L1灯熄灭表示投票未通过,且蜂鸣器不响。利用原理图和VHDL编程相结合的方法来实现-The design of the voting machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:35734
搜珍网 www.dssz.com