CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:l****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. caideng

    0下载量:
  2. 用VHDL语言设计实现一个彩灯控制(8个发光二极管)电路,仿真并下载验证其功能。彩灯有两种工作模式,可通过拨码开关或按键进行切换。 ? 单点移动模式:一个点在8个发光二极管上来回的亮。 ? 幕布式:从中间两个点,同时向两边依次点亮直至全亮, 然后再向中间点灭,依次往复。 -VHDL Language Design and Implementation with a lantern control (8 LEDs) circuit, simulation and download v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:792
搜珍网 www.dssz.com