CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:李***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. shuzizhong

    0下载量:
  2. 这个是关于用VHDL语言设计出来数字钟的程序,能够实现最基本的功能,对于想学习VHDL语言的人来说,是一个很好练习的例子。-This is about the design using VHDL, digital clock out of the program, to achieve the most basic functions, for people who want to learn VHDL language, it is a good practice example.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:413924
搜珍网 www.dssz.com