CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:宋****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. bin2bcd7seg

    0下载量:
  2. 用vhdl语言编译一个码制转换 四位二进制->BCD码,然后将BCD码->七段显示器码。 (1)当输入为0~9的数时,其十位数为0,个位数=输入。 当输入为10~15的数时,其十位数为1,个位数=输入-10。 (2)然后将十位和个位的BCD码转换为七段显示码 -Vhdl language used to compile a binary code system conversion of four-> BCD code, then BCD code->
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:326696
搜珍网 www.dssz.com