CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:sh***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. DDS_generator

    0下载量:
  2. DDS锯齿波发生器: 开发平台:maxplus+FPGA 功能: 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-DDS sawtooth generator : Development Platform : maxplus + FPGA functions : So output X Lu Ping Sawtooth. Keyboard can be used precision frequency control, multiple gear; Mobile
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:853092
搜珍网 www.dssz.com