CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:Zero *****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. shift-register

    0下载量:
  2. 移位寄存器的设计与仿真 移位寄存器是既能寄存数据,又能使数据移位的电路。所谓移位功能,就是寄存在电路中的数据,可在移位脉冲的作用下,依次左移或右移。 移位寄存器不仅能用来存储数据,还能用来进行加减乘除的运算,以及串并数据转换,始终分频等,是应用最广泛的数字器件之一。 -Design and Simulation of the shift register are both hosting the data shift register, and can make the data s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3500
搜珍网 www.dssz.com