CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:Andy****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. WhiteBalance_10bit

    1下载量:
  2. 模块功能:通过白平衡消除由光照带来色差(绿雾) 模块输入:亮度增益输出R,G,B三通道像素值(double) 模块输出:白平衡后R,G,B三通道像素值(double)(Module function: to eliminate chromatic aberration (green fog) caused by illumination through white balance. Module input: brightness gain output R, G, B three c
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-10-13
    • 文件大小:1024
搜珍网 www.dssz.com