CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:ve***

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. VHDL2

    0下载量:
  2. 序列信号发生器: 在系统时钟的作用下能够循环产生一组或多组序列信号的时序电路,(循环产生一组序列信号0111010011011010) 序列检测器: 检测一组或多组又二进制码组成的脉冲序列信号,当序列检测器连续收到一组或多组序列信号,如果与预先设置的码11010相同的时候,输出1,否则输出0. -Sequence of signal generator: the role of the system clock cycle to generate one or more si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:94039
搜珍网 www.dssz.com