CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 会员管理中心 查看会员资料

查看会员资料

用 户 名:huan*****

发送消息
  • Email:
    用户隐藏
  • Icq/MSN:
  • 电话号码:
  • Homepage:
  • 会员简介:

最新会员发布资源

  1. frequence_VHDL

    0下载量:
  2. 采用等精度测频原理的频率计的程序与仿真 --文件名:PLJ.vhd。 --功能:4位显示的等精度频率计。 -Such as the accuracy of frequency measurement using the principle of the frequency of the procedure and simulation- the file name: PLJ.vhd.- Function: 4 shows, such as precision frequency me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:123600
搜珍网 www.dssz.com