CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. GSM

    0下载:
  2. GSM短消息自动售货机监控终端开发GSM short message control terminal development vending machines-GSM short message control terminal development vending machines
  3. 所属分类:SMS

    • 发布日期:2017-04-10
    • 文件大小:1493776
    • 提供者:huu
  1. auto

    0下载:
  2. verlog语言编写的自动售货机源代码,可供初学者参考 -verlog vending machine language source code reference for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:206856
    • 提供者:张维达
  1. Veevestem

    0下载:
  2. 自动售货机掉货检测系统的开发Vending machines out of the development of cargo inspection system-Vending machines out of the development of cargo inspection system
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:256395
    • 提供者:abe
  1. auto-buy-machine

    0下载:
  2. 自动售货机的VHDL程序-VHDL program vending machines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1556
    • 提供者:ddw
  1. Venditem

    0下载:
  2. 自动售货机集群管理决策系统Vending machine cluster management decision-making system-Vending machine cluster management decision-making system
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-03
    • 文件大小:218091
    • 提供者:bu2008
  1. Gines

    0下载:
  2. GPRS网络的自动售货机监控系统的设计GPRS Network Monitoring System for vending machines-GPRS Network Monitoring System for vending machines
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:187961
    • 提供者:fend
  1. VHDL

    0下载:
  2. VHDL下的自动售货机的源码和设计思路,希望给大家有一定的启发-VHDL source code under the vending machines and design ideas, want to give you a certain degree of inspiration
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14761
    • 提供者:陈大傻
  1. vendingMachine

    0下载:
  2. 自己编写的一个小程序,自动售货机,刚开始接触C/C++的时候写的-I have written a small program, vending machines, just getting started with C/C++ the time to write
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:226633
    • 提供者:贾明军
  1. achines

    0下载:
  2. 基于GPRS网络的自动售货机监控系统的设计-GPRS-based network monitoring system designed vending machines
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:188767
    • 提供者:zin567
  1. HDL

    0下载:
  2. Vrilog HDL 语言设计的关于自动售货机的程序论文,详细精简,功能实现的效果很好-Vrilog HDL language design process on paper vending machine, more streamlined, functional to achieve good results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:343024
    • 提供者:张黎
  1. zidongshouhuoji

    0下载:
  2. 使用VHDL语言实现的一个自动售货机的程序。适合VHDL初学者使用。-VHDL language using a vending machine program. VHDL suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:388300
    • 提供者:shally
  1. Vending-machine

    0下载:
  2. 自动售货机:该项目采用c++ .net 编写,主窗体form1 表示售货机的整个外观,它包含3个货柜(用3个picturebox 演示),货柜下方显示商品的单价,当点击窗体的非控件点时,会自动显示各个商品的价格。-Vending Machines: The project uses c++. Net write, the main form form1 that the whole appearance of the vending machine, which includes three c
  3. 所属分类:.net

    • 发布日期:2017-05-13
    • 文件大小:3195724
    • 提供者:sy
  1. ST.emma.automat

    1下载:
  2. 自动售货机,s、使用JAVA编写-auto seller
  3. 所属分类:Java Develop

    • 发布日期:2017-05-19
    • 文件大小:5504890
    • 提供者:苏宁
  1. shouhuoji

    0下载:
  2. 此机能出售1元、5元、10元。出售哪种商品可由按动相应按键,并同时用数码管显示出此商品的价格; 币的钱数也是有1元、5元、10元三种,但每次只能投入其中的一种币按动相应的一个按键来模拟,并同时用数码管将投币额显示出来; 投币后,按一次确认键,如果投币额不足时则报警,报警时间3秒。如果投币额足够时自动送出货物(送出的货物用相应不同的指示灯显示来模拟),同时多余的钱应找回,找回的钱数用数码管显示出来; 按动确认键3秒后,自动售货机即可自动恢复到初始状态,此时才允许顾客进行下一次购货操作;
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:760276
    • 提供者:韩星
  1. FPGA27Instance

    0下载:
  2. LCD控制、FSK PSK等调制解调、出租车计价器、电梯控制、步进电机控制、自动售货机的VHDL程序-The control of LCD and ASK FSK make the demodulation, baseband code occurrence machine, frequency meter, electronic organ and electric lift control, automat and rent a car to account VHDL procedure c
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1276731
    • 提供者:于洪民
  1. auto_sale

    0下载:
  2. C++模拟的超市自动售货机,运行于模拟机,命令提示符状态。完成的功能很简单,C++爱好者可以-C++ simulation of the supermarket vending machines, running on the simulator, the command prompt. Complete function is very simple, C++ enthusiasts can play
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-01
    • 文件大小:7260
    • 提供者:
  1. EDA

    0下载:
  2. 用VHDL编写的自动售货机源代码和仿真波形图-With the VHDL source code written in vending machines and simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:174788
    • 提供者:阳婷
  1. Master_MCU

    0下载:
  2. 自动售货机的触摸屏控制与货道的控制MST776的-Vending machines with touch-screen control of the control MST776 of Cargo Road
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:229215
    • 提供者:jhliu
  1. vending-machine

    0下载:
  2. 简单的自动售货机仿真 ,无界面,全程模拟演示。运行后产生账单。-vending machine
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1980636
    • 提供者:youngshine
  1. autoDrinkMachine

    0下载:
  2. MFC可视化界面,实现饮料自动售货机功能、利用C++编写。-MFC visual interface, to achieve functional beverage vending machines, using C++ to write.
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:51519
    • 提供者:JH
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 20 »
搜珍网 www.dssz.com