CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - booth

搜索资源列表

  1. finish812

    1下载:
  2. 会展条码票务管理、门禁、印刷、现场制证(摄像、登记、印刷)、总控中心、接待管理、展位管理,收费管理等。QQ:32810985-Exhibition barcode ticketing management, Access Control, printing, on-site certification system (camera, registration, printing), the total control center, hospitality management, booth m
  3. 所属分类:SQL Server数据库

    • 发布日期:2008-10-13
    • 文件大小:2749938
    • 提供者:刘扬
  1. 用VHDL实现布斯算法

    1下载:
  2. 这个例子是讲述用VHDL实现布斯算法,应该有点用,是我的研究生师哥给我的。-this case is about the use of VHDL Booth algorithm, should use a bit of my graduate students Shige to me.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1897
    • 提供者:刘于
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. IBForce223

    0下载:
  2. PHP 语言 编写的一个 show展台 动态网站-PHP language of a show booth Dynamic Website
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:2273128
    • 提供者:秦军
  1. Booth_Multiplier

    0下载:
  2. 布斯乘法器的VHDL程序,下載後直接解壓縮複製貼上到你的EDATOOL就可以.-Booth multiplier VHDL procedures downloaded directly extract copy affixed to the EDATOOL you can.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1796
    • 提供者:韓堇
  1. b30core

    0下载:
  2. asm.rar 拉斯维加丝盘源代码 ASM51-asm.rar booth 21001-source Calling
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:118321
    • 提供者:1
  1. BoothMultiplier

    0下载:
  2. -- Booth Multiplier -- This file contains all the entity-architectures for a complete -- k-bit x k-bit Booth multiplier. -- the design makes use of the new shift operators available in the VHDL-93 std -- this design passes the Synplify synthe
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1833
    • 提供者:罗兰
  1. Lab20

    0下载:
  2. the booth algorithm to implement the 32bits multiplication.-the booth algorithm to implement the 32bit 's multiplication.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:57089
    • 提供者:王琪
  1. 16bit_booth_multiplier_STG

    0下载:
  2. verilog程序,实现两个16bit数乘法,采用booth算法,基于状态机实现,分层次为datapath和controller两个子模块,testBench测试通过-verilog procedures, two 16bit multiplication, the algorithm used booth. Based on the state machine achieved at different levels for datapath controller and two sub-mo
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2241
    • 提供者:seiji
  1. dingdianchengfaqisheji

    0下载:
  2. 目录: 0、 约定 1、 无符号数一位乘法 2、 符号数一位乘法 3、 布思算法(Booth algorithm) 4、 高基(High Radix)布思算法 5、 迭代算法 6、 乘法运算的实现——迭代 7、 乘法运算的实现——阵列 8、 乘加运算 9、 设计示例1 —— 8位、迭代 1、 实现方案1 —— 一位、无符号 2、 实现方案2 —— 一位、布思 3、 实现方案3 —— 二位 10、设计示例2 —— 16位、
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382707
    • 提供者:少华
  1. float_mul

    0下载:
  2. booth 乘法器 不同于传统的算法实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1734
    • 提供者:刘大海
  1. Booth_encoder

    0下载:
  2. 为提高乘法运算速度本设计采用Booth算法,Booth编码算法的优点有两个:一是减少了部分积的个数;二是可同时适用于有符号数运算和无符号数运算。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1202
    • 提供者:周涛
  1. vhdl

    0下载:
  2. 用VHDL语言编写的一个乘法器校程序 是基于BOOTH算法的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1115
    • 提供者:杨天
  1. multiplier

    0下载:
  2. booth乘法器: 16*16有符号乘法器,Booth编码,简单阵列,Ripple Carry Adder
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3495
    • 提供者:chenyi
  1. multiplier

    0下载:
  2. 8*8乘法器及其测试:采用booth编码的乘法器:1. ultipler_quick_add_4 即4位的并行全加器,在这里主要起了两个作用:第一个是在求部分积单元时,当编码为3x时用来输出部分积;另外一个是在将部分积加起来时,求3到6位时所用到。 2. ultiplier_quick_add_5 即5位的并行全加器,这里用来分别计算积的7到11位和12到16位。 3. ultiplier_unit_4 这个模块是用来实现部分积的,每一个模块实现一个部分积的4位,因此一个部分
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9989
    • 提供者:chenyi
  1. 16_multi

    0下载:
  2. 16*16有符号乘法器的  编码方式:Booth编码,  拓扑结构:简单阵列  加法器:Ripple Carry Adder
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:30603
    • 提供者:chenyi
  1. modified_booth_multiplier

    0下载:
  2. quartus ii项目文件包,功能是改进的booth乘法器,节省时钟,已完成仿真。(This zip file contains a quartus ii project, which can fufill multiple function. It is done by using a modified booth multiplier.)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:168960
    • 提供者:蝠蝙
  1. Minor-1

    0下载:
  2. code for "booth multiplier" using verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:593920
    • 提供者:nishusingla
  1. ALU32

    1下载:
  2. 采用booth算法,实现了32位的ALU。(The 32 bit ALU is realized by using the Booth algorithm.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1757184
    • 提供者:jetyeah
  1. multiplier

    1下载:
  2. Booth乘法器是属于位操作乘法器,采用流水线结构实现(The Booth multiplier is a bit-operated multiplier that is implemented in a pipeline structure.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2138112
    • 提供者:wlkid1412
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com