CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - multiplier

搜索资源列表

  1. 16位乘法器

    1下载:
  2. 自已写的一个16X16的乘法器,速度比较慢。初学者练习练习!-own writing an audio Multiplier, speed is relatively slow. Beginners practice practice!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2021
    • 提供者:唐勇翔
  1. 8位相位相加乘法器

    1下载:
  2. 8位相 加乘法器,具有高速,占用资源较少的优点-eight multiplier phase together with high-speed, taking up less resources advantages
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4803
    • 提供者:张建
  1. 51子程序库

    0下载:
  2. 该文件里包含了大量的常用经典的程序代码,可以为51编程者带来事半功倍的效果,-The document contains a number of popular classics code can be programmed for 51 brought a multiplier effect,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:351491
    • 提供者:王文卓
  1. rc6_avr

    0下载:
  2. AVR单片机的优化RC6 加密算法(速度快,其优化思想绝对值得学习) 在有128bytes RAM 的AVR单片机上执行 rc6 16/10/8(16 bit/10 rounds/8 bytes keys) * 对多数代码进行了 C 语言优化,对数据相关循环移位,模乘等用ASM优化 * 在4MHz无乘法器的AVR上得到平均 1172 Bytes/s的加解密速度。 * 编译器: AVR-G-AVR optimization RC6 encryption algorithm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5854
    • 提供者:陈谭
  1. 实验5_硬件乘法器操作

    0下载:
  2. MSP430F449的硬件乘法器操作IAR Practice code-MSP430F449 hardware multiplier operation code IAR Practice
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1058
    • 提供者:顾峰
  1. 乘子法

    0下载:
  2. 优化方法中的解非线性规划问题的一种方法,乘子法-optimization methods of solving nonlinear programming problem in a way Multiplier Method
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:2209
    • 提供者:王伟
  1. 乘式还原

    0下载:
  2. A代表数字0到9中的前五个数字,Z代表后五个数字,请还原 下列乘式。 A Z A × A A Z ------------ A A A A A A Z Z Z A A ------------ Z A Z A A *问题分析与算法设计 问题本身并不复杂,可以对乘式中的每一位使用穷举法,最 终可以得到结果。本题的关键在于怎样有效的判断每个部分积的 每一位是否满足题意,这一问题处理不好,编写的程序会很长。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1643
    • 提供者:姚紫欣
  1. 乘式还原(2)

    0下载:
  2. 有乘法算式如下: ○○○ × ○○ ------------ ○○○○ ○○○○ ------------ ○○○○○ 18个○的位置上全部是素数(1、3、5或7),请还原此算式。 *问题分析与算法设计 问题中虽然有18数位,但只要确定乘数和被乘数后经过计算 就可确定其它的数位。-multiplication formula is as follows : 2000 2000 --- --- 2000 2000 --- ---
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2324
    • 提供者:姚紫欣
  1. 1.6运算器部件实验:乘法器

    0下载:
  2. 这个是用vhdl编写的乘法器,仅仅供大家参考-VHDL prepared by the multiplier, just for reference
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:150162
    • 提供者:李乐雅
  1. booth_mul

    2下载:
  2. 一种可以完成16位有符号/无符号二进制数乘法的乘法器。该乘法器采用了改进的Booth算法,简化了部分积的符号扩展,采用Wallace树和超前进位加法器来进一步提高电路的运算速度。本乘法器可以作为嵌入式CPU内核的乘法单元,整个设计用VHDL语言实现。-a 16 to be completed with symbols / unsigned multiplication of the number of binary multipliers. The multiplier used to impr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:19758
    • 提供者:李鹏
  1. multiplier_TB

    0下载:
  2. multiplier testbench
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:happywater12
  1. 16bit-multiplier

    0下载:
  2. 实现verilog16位乘法器,verilog新手(achieve 16-bit multiplier)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-06
    • 文件大小:1024
    • 提供者:风20171201
  1. 7P(divisionymulti)

    0下载:
  2. divider and multiplier number labview
  3. 所属分类:LabView编程

    • 发布日期:2018-01-07
    • 文件大小:5120
    • 提供者:angel134
  1. Lab4

    0下载:
  2. 布斯(Booth)乘法器是一種透過編碼後再運算所得到較佳效能乘法器 請嘗試描述說明 1. 布斯乘法器原理 2. 布斯乘法器組成架構 3. 並嘗試完成布斯乘法器(The Booth multiplier is a better performance multiplier that is encoded and then computed Please try to describe the descr iption 1. Booth multiplier principle Boo
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:68608
    • 提供者:dhfryytj
  1. modified_booth_multiplier

    0下载:
  2. quartus ii项目文件包,功能是改进的booth乘法器,节省时钟,已完成仿真。(This zip file contains a quartus ii project, which can fufill multiple function. It is done by using a modified booth multiplier.)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:168960
    • 提供者:蝠蝙
  1. Minor-1

    0下载:
  2. code for "booth multiplier" using verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:593920
    • 提供者:nishusingla
  1. fir4tap using array

    0下载:
  2. 4 tap fir filter using by passing multiplier
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:11264
    • 提供者:divya_r
  1. Fixed-Floating-Point-Adder-Multiplier-master

    0下载:
  2. Fixed-Floating-Point-Adder-Multiplier with test bench
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:9216
    • 提供者:liki20
  1. 16 bit signed number multiplier

    2下载:
  2. 16位有符号数乘法器,使用Booth编码和华莱士树,提供程序源文件和测试文件(The 16 bit signed multiplier uses Booth encoding and Wallace tree to provide source files and test files.)
  3. 所属分类:微处理器开发

    • 发布日期:2020-04-25
    • 文件大小:6144
    • 提供者:Yongsen Wang
  1. multiplier

    1下载:
  2. Booth乘法器是属于位操作乘法器,采用流水线结构实现(The Booth multiplier is a bit-operated multiplier that is implemented in a pipeline structure.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-02-07
    • 文件大小:2138112
    • 提供者:wlkid1412
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com