CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DDS

搜索资源列表

  1. DDS小数分频

    0下载:
  2. 文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉): DDS小数分频 ...........\Block1.vhd.bak ...........\db ...........\..\add_sub_9mh.tdf ...........\..\DDS.asm.qmsg ...........\..\DDS.asm_labs.ddb ...........\..\DDS.cbx.xml ...........\..\DDS.cmp.
  3. 所属分类:VHDL编程

  1. 利用C8051F340单片机对DDS芯片AD9834进行编程

    0下载:
  2. 利用C8051F340单片机对DDS芯片AD9834进行编程,产生方波和三角波,A C8051F340 program to generate square and triangular waves with the DDS chip AD9834
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-23
    • 文件大小:1107
    • 提供者:yuanzongliang
  1. 基于FPGA的直接数字频率合成器(DDS)设计

    1下载:
  2. 基于FPGA的直接数字频率合成器(DDS)设计 (源程序),FPGA-based direct digital synthesizer (DDS) design (source code)
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:236673
    • 提供者:jacky
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. DDS.rar

    1下载:
  2. DDS信号发生器,利用VHDL实现,可根据频率控制字的改变输出不同频率的信号,最高可到达10MBPS,DDS signal generator, the use of VHDL realization of frequency control word in accordance with changes in output signals of different frequencies, the maximum arrival 10MBPS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:784192
    • 提供者:陈宇
  1. DDS.rar

    1下载:
  2. 自己在Quartus下用VHDL编写的一个DDS程序。包括寄存器,累加器,波形存储器,In Quartus using VHDL procedures for the preparation of a DDS. Including the register, accumulator, waveform memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:351346
    • 提供者:ice
  1. DDS(AD9850)C语言代码实现直接频率合成

    0下载:
  2. DDS(AD9850)C语言代码实现直接频率合成,另带人机交互界面,code for AD9850
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-03-25
    • 文件大小:503857
    • 提供者:张冀海
  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DDS.rar

    0下载:
  2. 基于EPM7128的数字合成信号发生器(DDS)设计。通过对EPM7128编程,组合出地址累加器、数据锁存器、256*8位ROM空间。外接DA可实现正弦波输出功能,EPM7128-based signal generator for digital synthesis (DDS) design. EPM7128 through programming, the combination of address accumulator, data latches, 256* 8 ROM space.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:353854
    • 提供者:xiaoyu
  1. dds.zip

    0下载:
  2. 在opengl下加载DDS纹理文件的源程序,支援DXT-1,DXT-3和DXT-5等标准。,Under the load in the opengl texture file DDS source code, support DXT-1, DXT-3 and DXT-5 and other standards.
  3. 所属分类:OpenGL program

    • 发布日期:2017-03-22
    • 文件大小:35210
    • 提供者:mim
  1. DDS.rar

    0下载:
  2. Quartus中实现的DDS 使用的是altera提供的IP core,DDS achieved Quartus using IP core provided by altera
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:83887
    • 提供者:ray
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. FPGA-DDS-FM.rar

    2下载:
  2. DDS 调频信号发生器框图设计原理,有仿真测试结果,DDS signal generator FM Design Principle diagram
  3. 所属分类:Communication

    • 发布日期:2016-01-26
    • 文件大小:69719
    • 提供者:chenjiwei
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. dds

    0下载:
  2. verilog 硬件语言实现DDS,使用ise11.1和modelsim se6.5仿真测试-verilog hardware language DDS, using the simulation test ise11.1 and modelsim se6.5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2595262
    • 提供者:linzi
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. 51-DDS

    0下载:
  2. 基于51单片机 DDS 的信号发生器设计 (有源代码)-51 MCU-based design of DDS signal generator (source code)
  3. 所属分类:SCM

    • 发布日期:2017-05-25
    • 文件大小:8415707
    • 提供者:hezhigang
  1. dds

    1下载:
  2. 基于FPGA的DDS设计,本程序采用verilog HDL语言编写,使用DDS+Pll倍频-The DDS-based FPGA design, the procedures used verilog HDL language, the use of DDS+ Pll frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:190930
    • 提供者:赵一
  1. DDS

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序.-DDS program folder, complete direct digital frequency synthesis function, sine, triangle, square
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:433160
    • 提供者:王金
  1. DDS

    1下载:
  2. 基于DDS原理的正弦信号发生器。用VERILOG语言实现,功能强大。-DDS based on the principle of sinusoidal signal generator. Using Verilog language and powerful.
  3. 所属分类:software engineering

    • 发布日期:2017-03-26
    • 文件大小:558736
    • 提供者:毛华站
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com