CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NCO

搜索资源列表

  1. NCO

    2下载:
  2. 一個有關於數值控制震盪器(NCO)的檔案,用Matlab的Simulink開啟即可.-a shaker on the numerical control (NCO) files, Matlab Simulink can be opened.
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:10923
    • 提供者:林家民
  1. nco

    0下载:
  2. 用VHDL语言写好得,直接可用NCO设计
  3. 所属分类:书籍源码

    • 发布日期:2014-01-17
    • 文件大小:34317
    • 提供者:long
  1. 7941952NCO_sin.rar

    0下载:
  2. NCO 代码设计 使用VHDL语言 ,nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4136
    • 提供者:lucifer
  1. nco

    0下载:
  2. A numerically-controlled oscillator (NCO) is a digital signal generator which creates a synchronous (i.e. clocked), discrete-time, discrete-valued representation of a waveform, usually sinusoidal.
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:12085
    • 提供者:rita
  1. NCO_based_rom

    1下载:
  2. 完整的基于ROM查找表的NCO 产生10位宽的正交信号-Integrity of the ROM-based lookup table of the NCO have 10-bit wide of the orthogonal signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-11-21
    • 文件大小:86073
    • 提供者:gsg
  1. cordic

    0下载:
  2. 用于无线通信中的数字下变频,主要关注NCO设计还有使用cordic算法实现坐标变换和解调!-For wireless communication of digital down conversion, the main concern there NCO design algorithm using cordic coordinate transformation and demodulation!
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:2470157
    • 提供者:peter
  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. NCO

    1下载:
  2. 用verilog语言写的NCO,在quartus环境中应用-Verilog language written with NCO, quartus environment in the applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:3072
    • 提供者:刘春
  1. dds_new

    0下载:
  2. 驱动时钟加入了PLL,使得DDS的驱动时钟可变.32位的NCO使得DDS的分辨率可以做到Hz量级-Clock driver joined the PLL, the DDS makes the clock-driven variable-.32-bit NCO makes the resolution of DDS can be done Hz magnitude
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2024605
    • 提供者:李春剑
  1. NCO

    0下载:
  2. 基于FPGA和SRAM的数控振荡器的设计与实现-SRAM-based FPGA and NCO of the design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:44795
    • 提供者:gsg
  1. CORDIC

    0下载:
  2. 数字控制振荡器(NCO,numerical controlled oscillator)是软件无线电、直接数据频 率合成器(DDS,Direct digital synthesizer)、快速傅立叶变换(FFT,Fast Fourier Transform) 等的重要组成部分,同时也是决定其性能的主要因素之一,随着芯片集成度的提高、在信号 处理、数字通信领域、调制解调、变频调速、制导控制、电力电子等方面得到越来越广泛的 应用。-Digital controlled oscilla
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4164
    • 提供者:司令
  1. nco

    0下载:
  2. 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7570
    • 提供者:郑程
  1. nco

    0下载:
  2. parametered dds, nco by matlab
  3. 所属分类:matlab

    • 发布日期:2017-03-28
    • 文件大小:514
    • 提供者:liuzhaohui
  1. nco

    0下载:
  2. 一个NCO的定点数模型,结构完整,功能正确。是用DSP BUILDER做的,自己做的-NCO of the fixed points of a model, structural integrity and function correctly. DSP BUILDER is done, do their own
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:6877
    • 提供者:霍文辉
  1. NCO

    0下载:
  2. 是数控振荡器的程序,能够产生正弦和余弦信号,是上、下变频技术的主要步骤-NCO of the program is capable of generating sine and cosine signals, is on the main steps of down-conversion technology
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1032
    • 提供者:笙箫
  1. NCO

    0下载:
  2. 关于FPGA设计实现NCO,包括查找表法和CORDIC算法的改进-FPGA design and implementation on the NCO, including the look-up table method and the CORDIC Algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1444443
    • 提供者:张子龙
  1. NCO

    0下载:
  2. 基于FPGA的NCO数字化实现方法,并从原理上作了必要的分析-NCO of digital FPGA-based implementation, and made from the principle of the necessary analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:186828
    • 提供者:fy
  1. nco

    0下载:
  2. 数字接收机DDS中NCO设计,vhdl代码参考-NCO of DDS in a digital receiver design,vhdl code reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1168
    • 提供者:rickdecent
  1. NCO-chazhao

    0下载:
  2. NCO查找法matlab代码 仿真代码 数字频率控制字可以改-NCO search method matlab code simulation code digital frequency control word can be changed
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:2739
    • 提供者:李康裕
  1. NCO

    0下载:
  2. 一种基于FPGA的数控振荡器技术的实现方法(FPGA implementation of NC oscillator NCO)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-29
    • 文件大小:1764352
    • 提供者:哈迪
« 12 3 4 5 6 »
搜珍网 www.dssz.com