CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - de1

搜索资源列表

  1. T0424_auto_double

    0下载:
  2. 双核独立cpu分别控制流水灯(使用DE1开发板)(FPGA)-Dual-core independent CPUs control the water lights (using the DE1 development board)(FPGA)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12623957
    • 提供者:xuting
  1. ArkanoidFPGA

    0下载:
  2. 使用VDHL程式來設打乒乓球,並且操作,運用在DE1板子上-The VDHL program set to play table tennis, and operations, the use of the DE1 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:40748032
    • 提供者:ricky chou
  1. Altera-Lab-1

    0下载:
  2. Altera Lab 1 for DE1 - Manual and Solution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1864936
    • 提供者:Azkan
  1. Altera-Lab-2

    0下载:
  2. Altera Lab 2 for DE1 - Manual and Solution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2322121
    • 提供者:Azkan
  1. Altera-Lab-3

    0下载:
  2. Altera Lab 3 for DE1 - Manual and Solution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1498773
    • 提供者:Azkan
  1. Altera-Lab-4

    0下载:
  2. Altera Lab 4 for DE1 - Manual and Solution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2843487
    • 提供者:Azkan
  1. Altera-Lab-5

    0下载:
  2. Altera Lab 5 for DE1 - Manual and Solution
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12486054
    • 提供者:Azkan
  1. dice-game

    0下载:
  2. dice game in vhdl program, perform in hex and control by switch in kit FPGA alterna De1
  3. 所属分类:Document

    • 发布日期:2017-05-21
    • 文件大小:6214200
    • 提供者:ha ha
  1. TAlttera_SSDh

    0下载:
  2. 使用的Altera的DE1 的板子进行SD卡上音乐的读取。 -The use of Altera' s DE1 board to read music on the SD card.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-06
    • 文件大小:1042749
    • 提供者:feiyunliu
  1. tb_datargb_fifo

    0下载:
  2. tb_datargb_fifo.rar de1 project to test signal of the camera
  3. 所属分类:Project Design

    • 发布日期:2017-12-02
    • 文件大小:931
    • 提供者:Thuc
  1. nguyenvanduan_group4_TC304

    0下载:
  2. ASM chart for altera de1
  3. 所属分类:LabView

    • 发布日期:2017-11-21
    • 文件大小:651838
    • 提供者:Nguyen Van Duan
  1. audio

    1下载:
  2. 基于DE1开发板,实现录音和播放功能,并可将存入sram中的语言数据通过uart传回电脑。-Based on DE1 development board, recording and playback functions, and can be stored in sram language data back to the computer via uart.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-03
    • 文件大小:14336
    • 提供者:zhanghui
  1. SRAM_Controller

    0下载:
  2. sram control la FPGA KIT DE1
  3. 所属分类:Project Design

    • 发布日期:2017-11-23
    • 文件大小:153319
    • 提供者:Quyet
  1. DE1lab1

    0下载:
  2. DE1 altera VHDL lab 1 exercise
  3. 所属分类:LabView

    • 发布日期:2017-11-08
    • 文件大小:1718022
    • 提供者:Han
  1. DE1lab2

    0下载:
  2. DE1 lab2 altera Vhdl
  3. 所属分类:LabView

    • 发布日期:2017-11-08
    • 文件大小:3321879
    • 提供者:Han
  1. as1

    0下载:
  2. Verilong HDL是最frequenctly使用的硬件描述语言,因为它的简单和方便的属性之一。这当然AIMES设计一个数字时钟,配备4段显示,秒表和时间设定使用这种语言,甚至一些额外的功能,fundamatal。 DE1板设计时钟的实施贡献-Verilong HDL is one of the most frequenctly used hardware descr iption language because of its simple and convenient propertie
  3. 所属分类:assembly language

    • 发布日期:2017-11-05
    • 文件大小:96514
    • 提供者:James
  1. DE1_synthesizer

    0下载:
  2. DE1 music synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:1203253
    • 提供者:suvdantsetseg
  1. FREQ-DIV-50MHz-to-64kHz

    0下载:
  2. Frequency divider implement on DE1 board, Clock in (OSC = 50MHz)to 64kHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:300791
    • 提供者:kingdiaw
  1. de1_camera_demo

    0下载:
  2. DE1 Demo Quartus Project (A Study of Spatial Color Interpolation Algorithms)
  3. 所属分类:Video Capture

    • 发布日期:2017-04-01
    • 文件大小:390197
    • 提供者:力量
  1. audio_latest.tar

    0下载:
  2. Audio Codec(ADPCM 1-Bit) The code is ready for Altera Cyclone-II DE1 Starter board and it is tested, you can modify codes and use them in any project. Core Descr iption: Sampling Frequency: 44100Hz Channels: Stereo Bit-rate: 1 Bit Per Sa
  3. 所属分类:Other systems

« 1 2 3 4 56 7 »
搜珍网 www.dssz.com