CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus

搜索资源列表

  1. tiaopin

    0下载:
  2. 开题报告,基于Quartus ii的DDS设计和实现。-Opening report, based on Quartus ii of DDS design and implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:146092
    • 提供者:fangming
  1. sopcIIC

    0下载:
  2. 该例子是基于sopc的IIC总线设计完整设计,分为硬件和软件部分,软件部分是用c语言编写的。该项目是个以完成的项目,据有较高的参考和经济价值。该例子是原来做过的项目。 整个项目是在Quartus II 7.0和nios IDE环境下开发。-This example is based on the IIC bus design sopc complete design, divided into hardware and software, the software part is writt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13531834
    • 提供者:bobo
  1. IFFT(FPGA)

    0下载:
  2. 用QUARTUS软件开发,基于FPGA的IFFT处理器设计。-With QUARTUS software development, the IFFT processor based on FPGA design.
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:182427
    • 提供者:天一生水
  1. qiangda

    1下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9161812
    • 提供者:shaozhen
  1. Quartus8.1_licence

    0下载:
  2. A way to evalulate Quartus 8.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:400289
    • 提供者:efarem
  1. Quartus7.1_licence

    0下载:
  2. A way to evalulate Quartus 7.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:397873
    • 提供者:efarem
  1. Quartus5.1_licence

    0下载:
  2. A way to evalulate Quartus 5.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:373257
    • 提供者:efarem
  1. Quartus7.2_licence

    0下载:
  2. A way to evalulate Quartus 7.2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:399371
    • 提供者:efarem
  1. quartuscrack

    0下载:
  2. 这是关于Quartus软件的破解包,几乎包括了所有的版本,安装完软件后,点相应破解包按提示操作即可-This is a crack on the Quartus software packages, including almost all the versions of installed software, the corresponding point can break package according to the prompts
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:345310
    • 提供者:VClearner
  1. 0608190248xiatao

    2下载:
  2. 实验利借助于Quartus II 软件设计了一个多功能数字钟,实现了校时,校分,清零,保持和整点报时等多种基本功能,此外还实现了闹钟,星期,音乐闹铃等附加功能。本文首先利用Quartus II进行原理图设计并仿真调试,最后在实验板上验证了设计的正确性。 关键字:数字钟 闹钟 仿真 准点报时 -Quartus II software by means of experimental Lee designed a multi-functional digital clock and real
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-06
    • 文件大小:1186223
    • 提供者:李敬超
  1. mips

    0下载:
  2. MIPs CPU,VERILOG代码,经过QUARTUS综合,时序分析,验证无误。-MIPS CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5137
    • 提供者:王龙
  1. 100516

    0下载:
  2. Quartus II 中Signaltap 的使用教程 -Quartus II tutorial in the use of Signaltap
  3. 所属分类:Software Testing

    • 发布日期:2017-05-15
    • 文件大小:3725809
    • 提供者:chenyu
  1. QuartusMaxplus

    0下载:
  2. Quartus和Maxplus使用指南。在做EDA设计的时候会用到的。-Quartus and Maxplus Guide. When doing EDA design will be used.
  3. 所属分类:File Formats

    • 发布日期:2017-05-14
    • 文件大小:3076113
    • 提供者:NIUYUANLAI
  1. lcd

    0下载:
  2. 128*64点阵液晶显示控制器时钟模块,quartus II 运行-128* 64 dot matrix LCD controller clock module, quartus II run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:672
    • 提供者:leisixinyang
  1. rs232-Quartus

    0下载:
  2. 利用verilog語法,來達成串口rs232的功能-Using verilog syntax, to achieve the functions of serial rs232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:480025
    • 提供者:張三
  1. Quartus-II-Handbook_72

    0下载:
  2. QuartusII7.2的用户手册,英文-QuartusII7.2 user manual, English
  3. 所属分类:Communication

    • 发布日期:2017-04-10
    • 文件大小:1136364
    • 提供者:尚林
  1. SDC

    0下载:
  2. quartus官网内总结的sdc有关资料学习-quartus official summary of the net to learn the information sdc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:844394
    • 提供者:songchao
  1. Crack_QII10.0_x86

    0下载:
  2. Quartus II 10.0_x86版破解补丁,此补丁在Windows XP和Windows 7的32/64位操作系统下都验证过了,都能使软件流畅运行-Quartus II 10.0_x86 Edition crack patch, this patch in Windows XP and Windows 7, 32/64 bit operating systems are verified, and can make the software run smoothly
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:13945
    • 提供者:ewgfmg
  1. dds

    1下载:
  2. 在quartus下的DDS设计,Verilog语言,可以产生正弦波、三角波、方波等,频率可调。-Under the DDS in quartus design, Verilog language, you can produce sine wave, triangle wave, square wave, frequency adjustable.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-29
    • 文件大小:2632704
    • 提供者:米多
  1. sinbo

    0下载:
  2. 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:995764
    • 提供者:liyu
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com