CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus2

搜索资源列表

  1. serial

    0下载:
  2. 利用VHDL语言编写的串口程序,可以在Quartus2环境下编译下载-Use the serial language VHDL program can be compiled in an environment Quartus2 Download
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:335540
    • 提供者:爱涛
  1. ncof

    0下载:
  2. quartus2环境中设计的高速任意波形发生器-highspeed waveform generator in quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6496015
    • 提供者:杨胖
  1. swatch

    0下载:
  2. swatch - quartus2 vhdl-swatch- quartus2 vhdl
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:1021180
    • 提供者:je
  1. stopwach

    0下载:
  2. vhdl stopwatch -quartus2 -vhdl stopwatch-quartus2
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:705480
    • 提供者:je
  1. dianyamaichongkongzhixitong

    0下载:
  2. 电压脉冲控制系统设计,基于vhdl和quartus2-Voltage pulse control system design, based on the vhdl and quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5928
    • 提供者:neversee
  1. yingjiandianziqingxitong

    0下载:
  2. 硬件电子琴系统设计,能实现语音播放功能,基于vhdl和quartus2,-Organ system hardware design, to achieve voice playback, based on the vhdl and quartus2,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:57125
    • 提供者:neversee
  1. FSK_PSK_tiaozhidianlusheji

    0下载:
  2. FSK/PSK调制电路设计,基于vhdl和quartus2-FSK/PSK modulation circuit design, based on the vhdl and quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:28034
    • 提供者:neversee
  1. pll

    0下载:
  2. 是quartus2的仿真倍频电路,用于产生倍频时钟!-Is a multiplier circuit simulation quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:333237
    • 提供者:张宏伟
  1. alarm-clock

    0下载:
  2. 该代码用VHDL实现了闹钟的定时和提醒功能。里面包含四部分代码,分别实现了60,30,2分频;键盘控制;外围控制;用quartus2软件就可以打开,压缩包中附有四个代码的仿真结果。-The VHDL code used to achieve the alarm clock to remind the timing and function. Code which contains four parts, namely a frequency 60,30,2 keyboard control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:18380
    • 提供者:杨帆
  1. light

    0下载:
  2. 用vhdl语言实现交通灯控制,可以用quartus2软件打开并仿真,经本人仿真无误。-Vhdl language used to control traffic lights can be turned on and quartus2 software simulation, simulation accuracy, as I am.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:613
    • 提供者:杨帆
  1. song

    0下载:
  2. 歌曲是什么名字我忘了,代码仅提供一个用verilog编写音乐的模板,想编写什么音乐就往里边套用格式就行了。 本程序无法用软件实现仿真音乐效果,当然可以仿真波形输出,真实音乐效果需用开发板仿真才行,所以就不附仿真图了 用quartus2软件打开即可。 -What are the names of songs I forgot, the code with verilog only prepared to provide a template for the music, what mu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1018
    • 提供者:杨帆
  1. correlator

    0下载:
  2. 代码主要说明了乘积检波器的vhdl描述,同时压缩包中还附带的与之相关的rom,mul4*4乘法器的vhdl描述。 用quartus2软件即可打开使用。-Code shows the main detectors of vhdl product descr iptions, at the same time compressed package also comes with associated rom, mul4* 4 multiplier vhdl descr iption. Quart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1313
    • 提供者:杨帆
  1. cordicCOS

    0下载:
  2. 用CORDIC算法来实现y余弦运算,并在QUARTUS2中仿真通过,误差较小。-CORDIC algorithms used for cos .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:881841
    • 提供者:叶敏
  1. quartus2-1

    0下载:
  2. QuartusII编程设计一款基于FPDA/QuartusII的计算机部件,可以实现算术运算(加,减,自加1,自减1,乘法,除法)和逻辑运算(与,或,非)等功能!-Based on a QuartusII Programming FPDA/QuartusII the computer components can be achieved Arithmetic (add, subtract, from plus 1, since the minus 1, multiplication, divis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2037126
    • 提供者:南才北往
  1. Quartus2_cracker_72sp2

    0下载:
  2. Quartus 7.2工具软件的破解文件, 从中国区总代理处流出。-Quartus 7.2 software tool to break a document from the Department out of the general agent in China.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:12627
    • 提供者:neimty
  1. FPGA

    0下载:
  2. 基于Quartus2的FPGACPLD设计-FPGACPLD design based on the Quartus2
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-06-02
    • 文件大小:14818319
    • 提供者:胡洪涛
  1. ADPCM

    1下载:
  2. APPCM算法和AD/DA芯片驱动在CPLD中的实现,已在实际硬件中测试OK,quartus2环境-APPCM algorithm and AD/DA chip in the drive to achieve in the CPLD has been tested in actual hardware OK, quartus2 environment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1326609
    • 提供者:jiajunxian
  1. learn_rom_99multi

    0下载:
  2. 基于quartus ii 9.0的99乘法器,用rom表做成的乘法器可以计算9*9的乘法,并在数码管上显示,使用时请按照自己的芯片和引脚设置。-Quartus ii 9.0 based on 99 multiplier, made by rom multiplier table can calculate the multiplication 9* 9, and in the digital control display, according to their own use when the
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:486994
    • 提供者:陈东旭
  1. quartusii_handbook

    0下载:
  2. 关于quartus最权威和最详尽的说明和指导,是一个很好的新手入门的handbook-About quartus the most authoritative and detailed instructions and guidance, is a good novice' s handbook entry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21583191
    • 提供者:王宇坤
  1. dianziqin

    0下载:
  2. 电子琴的vhdl程序与仿真 quartus2-Vhdl procedure and simulation of electronic organs quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:49786
    • 提供者:Sjn
« 1 2 3 4 56 7 8 9 10 11 12 »
搜珍网 www.dssz.com