CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus2

搜索资源列表

  1. FPGAkeshe.doc

    0下载:
  2. 基于FPGA/CPLD的以QUARTUS2 的能够实现交通灯的显示与控制-enable the lingting traffic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:352475
    • 提供者:王源
  1. VerilogHDLREV1.1

    0下载:
  2. verilog入门级书籍:帮助更好的理解verilog语言及操控quartus2软件:verilog那些事,来自黑金开发板原文资料-Verilog entry-level books: help better understand verilog language and control quartus2 software: verilog those things, gold development board from the original material
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10588569
    • 提供者:Elaine
  1. quartus2

    0下载:
  2. 这是一本quartusII的中文经典教程,内容丰富,讲解详细,非常值得一看-This is a quartusII Chinese classic tutorials, rich in content, the explanation is detailed, extremely is worth a look
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3100096
    • 提供者:fairy
  1. chuzuche

    0下载:
  2. 一款基于VHDL的EDA计程车计费系统的设计.熟悉Quartus2操作环境-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL ENTITY liuxuanyi IS PORT(C:IN STD_LOGIC_VECTOR(2 DOWNTO 0) DP: OUT STD_LOGIC A1,A2,A3,B1,B2,B3:IN STD_LOGI
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:72402
    • 提供者:邱壮雄
  1. vga_dis

    0下载:
  2. verilog语言实现VGA接口显示,可以在显示器上显示几种图片,可以直接在quartus2上运行-verilog language display, VGA interface can display several pictures on the monitor, you can run directly in quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:423498
    • 提供者:刘佳富
  1. sin-to-mif

    0下载:
  2. 正弦信号发生器生成正弦信号 存储格式为mif 用于quartus2的仿真-Sinusoidal signal generator to generate a sinusoidal signal storage format for the mif for quartus2 simulation
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:3134
    • 提供者:刘丹丹
  1. multiplier

    0下载:
  2. 8*8的乘法器基于quartus2的显示文件,其中使用了门电路和全加器来实现的,全加器用以实现进位运算,由于是第一次上传文件,这个是基于quartus2的显示文件-8* 8 multiplier, which uses the gate and full adder to implement the full adder to achieve binary operations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1891
    • 提供者:
  1. VFIFOzipe

    0下载:
  2. 用verilog实现异步FIFO,代码中有两个模块,使用时时注意顶层模块和底层模块,用quartus2即可打开直接使用。 -Asynchronous FIFO, with verilog code has two modules, using the constant attention of top-level module and bottom module with quartus2 to open.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:2434
    • 提供者:zcl1233
  1. vFFPPGAproe

    0下载:
  2. VERILOG HDL 实际工控项目源码开发工具 alltera quartus2 -VERILOG HDL practical industrial projects source code development tools alltera quartus2
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:1235413
    • 提供者:推翻
  1. VHDL8259_relize

    0下载:
  2. 一个使用vhdl语言实现中断控制器8259a的例子,注释很详细,经过quartus2验证成功-An example interrupt controller 8259a vhdl language, very detailed notes, after quartus2 verify success
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:1422830
    • 提供者:沈宙
  1. epcverilogTimer

    0下载:
  2. 用verilog编写的epc的仿真定时器。用quartus2 仿真-Epc prepared with verilog simulation timer. Simulation with quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:44199
    • 提供者:weiyi
  1. quartusii

    0下载:
  2. 本文档介绍quartus2的使用过程,是初学的入门的好参考资料-quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1292735
    • 提供者:王治民
  1. intro_to_quartus2_chinese

    0下载:
  2. 这个是介绍CPLD/FPGA的开发环境quartus2的文章,对初学者及开发人员应该会有一定的帮助。-This is to introduce the CPLD/FPGA development environment quartus2 in the article, there should be some help for beginners and developers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2068501
    • 提供者:高鹏
  1. Quartus2-9_0-Megafunctions-library

    0下载:
  2. 在Cyclone2的EP2C5Q芯片下Quartus Ⅱ9_0可用功能模块(Megafunctions库)-中文版-The available features the Quartus Ⅱ 9_0 with Cyclone2 EP2C5Q chip module the (Megafunctions Library)- Chinese Edition
  3. 所属分类:software engineering

    • 发布日期:2017-11-18
    • 文件大小:152897
    • 提供者:杨涛
  1. eda关于数字钟的介绍

    0下载:
  2. eda关于数字钟的介绍。详细标明用quartus2数字钟写得方法。
  3. 所属分类:文档资料

  1. Quartus-II-software-operating

    0下载:
  2. Quartus2 软件操作 精华版 楼主吐血整理的 希望大家顶下-Quartus2 software operating Essentials landlord hematemesis hope everyone from the top finishing
  3. 所属分类:software engineering

    • 发布日期:2017-11-22
    • 文件大小:228075
    • 提供者:jet
  1. c0_led

    0下载:
  2. VHDL编写的时钟程序 用于alter实验板 编写程序为quartus2-Clock program written in VHDL is used to alter the experiment board programming for quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:738937
    • 提供者:zz
  1. eda

    0下载:
  2. 74LS283的4位BCD码加法器,用quartus2编译,有详细的电路图-74LS283 4-bit BCD counter
  3. 所属分类:Picture Viewer

    • 发布日期:2017-11-13
    • 文件大小:142303
    • 提供者:sai
  1. Verilog-code

    0下载:
  2. 基于cyclone 内核的fpga的源代码,带quartus2下载文件-Based on the source code of the cyclone kernel fpga, with quartus2, download files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:7184116
    • 提供者:hzx
  1. QuartusII--installed-r

    0下载:
  2. Quartus2 的安装和使用-Quartus2 install and use. . . . . . . . . . . .
  3. 所属分类:software engineering

    • 发布日期:2017-11-22
    • 文件大小:425991
    • 提供者:李篪
« 1 2 ... 4 5 6 7 8 910 11 12 »
搜珍网 www.dssz.com