CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位

搜索资源列表

  1. 易支持库创建--移位扩展库.rar

    0下载:
  2. 本程序旨在讲解如何用Delphi创建一个可以在易语言中执行的支持库,并给出了一个实用的汉字取模的易程序, 1.支持库为标准DLL格式,扩展名为fne. 在其中实现了移位操作(Delphi7+WinXp通过) 2.在易语言中导入此支持库(复制TaoLibShift.fne)到易语言的LIB目录下,然后才可以执行 [诺亚点阵汉字提取器.e] 3.输出的格式有 ASM和C两种格式,可以直接应用于8x8点阵LED,
  3. 所属分类:系统编程

    • 发布日期:
    • 文件大小:970551
    • 提供者:
  1. 移位密码

    0下载:
  2. 实现移位密码: 加密: 输入:k,以及小写表示的明文字母, 输出:大写字母表示的密文 解密:输入: k,以及大写字母表示的密文, 输出:小写字母表示的明文 例如,当k=11时 明文:wewillmeetatmidnight 密文:HPHTWWXPPELEXTOYTRSE-achieve shift Password : encryption : Admission : k, and explicitly expressed in lowercase letters, output : ca
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6459
    • 提供者:Jam
  1. 线性移位寄存器的C语言实现

    1下载:
  2. 线性移位寄存器是流密码的重要组成部分,该程序就是实现该方法。- The linearity shift register is the stream cipher important constituent, this procedure realizes this method.
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:11131
    • 提供者:王清华
  1. 时序逻辑:VHDL实例---移位寄存器

    1下载:
  2. 时序逻辑种类:VHDL实例---移位寄存器-sequential logic types : VHDL examples --- Shift Register
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2175
    • 提供者:张洪
  1. 线形移位存储器生成流密码

    0下载:
  2. 线形移位存储器生成流密码-linear displacement flow generated password memory
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:1222
    • 提供者:文桂英
  1. 移位寄存器

    0下载:
  2. 移位寄存器,VHDL编写,具有很高的参考价值~-a shift register written in VHDL, which has very high reference value.
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:1113
    • 提供者:
  1. 四位移位寄存器用vhdl语言设计

    0下载:
  2. 四位移位寄存器用vhdl语言设计
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-15
    • 文件大小:10752
    • 提供者:suzhouren
  1. 8位并入串出移位寄存器

    0下载:
  2. 8位并入串出移位寄存器
  3. 所属分类:源码下载

  1. shift_register.用Verilog实现的移位寄存器

    1下载:
  2. 用Verilog实现的移位寄存器,可以实现左移、右移等功能,Using Verilog implementation of the shift register, you can achieve the left, shifted to right and other functions
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2967
    • 提供者:huhahuha
  1. shifter.实现串行数据与并行数据的转换

    2下载:
  2. 8位双向移位寄存器: 实现串行数据与并行数据的转换,移位寄存数据功能的,8-bit bi-directional shift register: the realization of serial data and parallel data conversion, data storage function of displacement
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:45758
    • 提供者:罗子
  1. 8位可预置的循环移位数字信号发生器

    0下载:
  2. 8位可预置的循环移位数字信号发生器、简易逻辑分析仪,8 of the cyclic shift can be preset digital signal generator, simple logic analyzer
  3. 所属分类:其他小程序

    • 发布日期:2017-03-24
    • 文件大小:80109
    • 提供者:bai
  1. 线性卷积编码的线形移位寄存器poly2trellis的解释

    1下载:
  2. 线性的卷积编码,基本的信道编码,可以由线性移位寄存器(即线性多项式)构成。 输出网格trellis表示由移位寄存器组成的网格装的卷积编码器,通过移位寄存器多项式生成,所以在Matlab中的函数叫做poly2trellis,也就是多项式poly-to-网格trellis,用来描述寄存器的结构方式。生成的trellis可以作为线形卷积编码函数convenc和或者其解码(如Viterbi解码函数vitdec)的输入。
  3. 所属分类:编程文档

    • 发布日期:2017-02-19
    • 文件大小:36864
    • 提供者:vvvivian
  1. PE移位工具支持拖拽

    1下载:
  2. 所谓的PE头移位就是把前面的几句语句移到别的地方,而且又不影响程序的运行,现在有很多病毒都用这个方法做什么免杀. PE头移位工具(PE Header Editor)是一个非常很用的移位工具,如果你是一个程序,一定知道他是怎么的。 点击右侧的按钮选择一个PE文件进行修改...(The so-called PE head shift was in front of a few sentences moved to other places, but also does not affect th
  3. 所属分类:其他

    • 发布日期:2017-12-16
    • 文件大小:321536
    • 提供者:DWADAWDAW
  1. 迭代移位线性搜索PTS模块

    0下载:
  2. 基于OFDM系统设计的迭代移位线性搜索PTS算法,亲测可用(An iterative shift linear search PTS algorithm based on OFDM system, pro test is available)
  3. 所属分类:matlab例程

  1. 基础实验_11_移位寄存器 :线性反馈移位寄存器

    0下载:
  2. 实现线性寄存器的移位和反馈,通过FPGA开发板实现功能(The shift and feedback of the linear register are realized)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:256000
    • 提供者:搁浅~~~
  1. 89C51矩阵按键数码管移位显示

    0下载:
  2. 用98c51单片机实现独立的矩阵键盘控制数码管移位显示功能(Using 98c51 MCU to realize the independent matrix keyboard control digital tube shift display function)
  3. 所属分类:单片机开发

  1. 移位操作

    0下载:
  2. 详细介绍了c++编程里的移位操作,有需要可以下载(Introduced in detail the c++ programming shift operation, there is need to download)
  3. 所属分类:书籍源码

    • 发布日期:2018-01-05
    • 文件大小:359424
    • 提供者:CD651
  1. 一、移位密码算法的加密和解密

    0下载:
  2. 实现了移位密码算法的加密和解密,加密与解密程序在同一程序中,通过输入1or2选择加密或解密(The encryption and decryption of the transposition cipher algorithm are realized. In the same program, the encryption and decryption program is encrypted or decrypted by the input 1or2.)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-10
    • 文件大小:1764352
    • 提供者:fwssnbb1
  1. 移位寄存器

    0下载:
  2. 适用于西门子200系列plc程序 移位寄存器指令的应用(It is suitable for SIEMENS 200 series PLC program shift register instruction application.)
  3. 所属分类:其他行业

    • 发布日期:2018-05-01
    • 文件大小:5120
    • 提供者:bise
  1. 矩阵按键数码管移位显示

    1下载:
  2. 矩阵按键数码管移位显示。。。能成功运行。(Matrix keypad digital display)
  3. 所属分类:单片机开发

    • 发布日期:2020-06-15
    • 文件大小:835584
    • 提供者:琉璃不方
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com