CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 除法器

搜索资源列表

  1. divider

    1下载:
  2. 介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:83931
    • 提供者:yaoyongshi
  1. comp_arith

    0下载:
  2. cpu设计中关于加法器,乘法器,除法器设计的ppt,希望对硬件学习的人有帮助
  3. 所属分类:技术管理

    • 发布日期:2008-10-13
    • 文件大小:1865265
    • 提供者:ninghuiming
  1. div2

    1下载:
  2. 32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1528
    • 提供者:李春阳
  1. testbench

    1下载:
  2. 32位除法器的测试程序, 由随机向量产生函数产生一组随机数 来验证计算书否正确
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5660
    • 提供者:李春阳
  1. dividers.tar

    0下载:
  2. 无符号类型的除法器,有VHDL语言描述了无符号的除法器,包括测试文件
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4925
    • 提供者:asdtgg
  1. djdcf

    0下载:
  2. 在3D图像处理等对运算要求高的领域,高效除法器已成为处理器内必不可少的部件。在分析除法器设计的泰勒级数展开算法基础上,提出了一种新的除法器设计算法。在满足同样精度的情况下,所实现的三级流水线的除法器,与基于泰勒级数展开算法的除法器相比,面积更小,速度更快。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:158240
    • 提供者:usbusb01
  1. divider

    0下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2323
    • 提供者:朱秋玲
  1. divider

    0下载:
  2. 经过精心设计的除法器的代码,并在FPGA硬件平台实现和验证过的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1696
    • 提供者:hewg
  1. divide

    0下载:
  2. 除法器的设计本文所采用的除法原理是:对于八位无符号被除数A,先对A转换成高八位是0低八位是A的数C,在时钟脉冲的每个上升沿C 向左移动一位,最后一位补零,同时判断C的高八位是否大于除数B,如是则C的高八位减去B,同时进行移位操作,将C的第二位置1。否则,继续移位操作。经过八个周期后,所得到的C的高八位为余数,第八位为商。从图(1)可清楚地看出此除法器的工作原理。此除法器主要包括比较器、减法器、移位器、控制器等模块。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1354
    • 提供者:lyy
  1. divider

    0下载:
  2. 基于srt-2算法,利用verilog实现16位定点无符号数除法器(除数、被除数均由16位整数和16位小数组成,商由32位整数和16位小数构成,余数由32位小数组成)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2933
    • 提供者:刘蒲霞
  1. test_div

    0下载:
  2. 定点除法器程序,分为被除数大于除数和除数大于被除数两种情况
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18421
    • 提供者:丁洋
  1. alu-div

    1下载:
  2. 用verilog HDL代码编写的快速除法器,比较有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:15134
    • 提供者:徐芬
  1. div_aegp

    0下载:
  2. 用VHDL语言实现的除法器,可以处理非整除运算。精度0.004
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1222
    • 提供者:sunfat
  1. chufa

    0下载:
  2. 一个简单的除法器,可以供各位参考!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:911
    • 提供者:YjLiu
  1. VHDLsiweichufaqi

    0下载:
  2. 这是一个利用MAX PULL 制作的VHDL的四位除法器的程序 如果有需要仿真图的 请叫站长联系我
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2418
    • 提供者:郭明磊
  1. fpdiv_vhdl

    0下载:
  2. 四位除法器的VHDL源程序-four division of VHDL source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:954
    • 提供者:张庆辉
  1. risc_cpu

    0下载:
  2. 8位risc cpu的编写,使用quartus软件对其进行写入,里面内置乘法器、除法器等模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:814814
    • 提供者:瑞翔
  1. 32divider

    0下载:
  2. 32位元2進位除法器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1870
    • 提供者:chen
  1. what

    0下载:
  2. 除法器,可以很好的实现VHDL除法器的功能对于初学者有很大帮助.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1144
    • 提供者:panjun
  1. Some_design_of_interface(IIC_P

    0下载:
  2. 一些接口电路的Verilog设计,主要包括IIC、PS2、矩阵键盘、RS232、还有一些基础试验的源代码如:除法器、多路选择器、加法器、减法器、8位优先编码器等。,Some design of interface(IIC,PS2,RS232...)
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2993187
    • 提供者:李皓
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com