CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 除法器

搜索资源列表

  1. divider

    0下载:
  2. 除法器,经过验证,性能优良,值得下载,应该是定点除法的-divider,it is verified and good performance
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:6052
    • 提供者:陈毅
  1. 74845002vhd_divider

    0下载:
  2. 除法器,用于求余用算,流水线性运算,, -Divider, for the remainder used to count
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:6232
    • 提供者:yueqi
  1. divider

    0下载:
  2. verilog的除法器 有多重方法 很适合初级者阅读-verilog divider multiple method is very suitable for beginners to read
  3. 所属分类:Other systems

    • 发布日期:2017-11-16
    • 文件大小:1097680
    • 提供者:ran
  1. 实例模块

    0下载:
  2. 各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例模块相应的Testbench
  3. 所属分类:数学计算/工程计算

    • 发布日期:2013-04-09
    • 文件大小:6281027
    • 提供者:andrewv
  1. divider

    0下载:
  2. 用verilog实现一个被除数位8位、除数为4位的高效除法器-Verilog to achieve a dividend of 8, division by four efficient divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1403
    • 提供者:张山
  1. chufa

    0下载:
  2. 用VHDL设计的四位除法器,可以实现四位二进制数的除法操作-Four divider with VHDL design, you can achieve the four binary division operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:1152
    • 提供者:陈峰
  1. divider

    0下载:
  2. 基于移位相减运算的除法器设计,完整的设计工程文件在divider文件夹下-Based on the shift subtraction divider design, complete design project file divider file folder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1326599
    • 提供者:xiebaiyuan
  1. VHDL_book2

    1下载:
  2. add4a:4位加法器的设计 add8a:8位加法器的设计 subtract:4位减法器的设计 addsub: 4位加法器/减法器的设计 shift4:移位寄存器的设计 mult4:乘法器设计 div8:除法器设计 alu4:算术逻辑单元ALU设计-add4a: 4-bit adder design add8a: 8 bit adder design subtract: 4-bit subtraction Design addsub: 4-bit ad
  3. 所属分类:Other Embeded program

    • 发布日期:2014-11-18
    • 文件大小:3258368
    • 提供者:贾诩
  1. div16d8

    0下载:
  2. 16位除以8位除法器,Verilog HDL语言-16 divided by 8 divider, Verilog HDL language
  3. 所属分类:Algorithm

    • 发布日期:2017-12-06
    • 文件大小:1404
    • 提供者:孙璐
  1. BCD_ALU

    1下载:
  2. bcd码的ALU单元,包含全加、全减、乘法、除法器-bcd code ALU unit, including All-Canadian, all subtraction, multiplication, division, unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:52081
    • 提供者:georgeniu
  1. seq_div

    0下载:
  2. 除法器设计 样例程序-Divider design sample program
  3. 所属分类:Software Testing

    • 发布日期:2017-11-23
    • 文件大小:1713
    • 提供者:eee
  1. divider_with_cache

    0下载:
  2. 带缓存的除法器,包括test bench,在普通除法器上加上缓存功能-divider with cache
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:59735
    • 提供者:周联发
  1. div_nonrestoring

    0下载:
  2. 用verilog 实现的除法器 ,被除数32位 除数为16位-Divider using verilog realize the dividend 32 divisor is 16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:721
    • 提供者:Andy
  1. BCD_divid_new

    0下载:
  2. VHDL语言编写的8位BCD除法器,可以实现浮点数计算,只支持正数运算,并用isim进行仿真-VHDL language 8 BCD division, can achieve floating-point calculations, which only supports a positive number arithmetic, and use isim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:524842
    • 提供者:liudongzhu
  1. divider_VERILOG

    0下载:
  2. 采用VERILOG实现硬件除法器。提供RTL代码和仿真文件。-Achieved using VERILOG hardware divider. Provide RTL code and simulation files.
  3. 所属分类:MPI

    • 发布日期:2017-04-03
    • 文件大小:84491
    • 提供者:齐永
  1. SUANSHUJISUAN

    0下载:
  2. 通过verilog hdl实现加法器乘法器,除法器的设计-Achieved through verilog hdl adder multiplier, divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5575
    • 提供者:李永超
  1. a

    0下载:
  2. 用verilog实现除法器,调用了ip核,不仅有源代码,还有测试程序的时序编写-verilog ise divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:573
    • 提供者:炎静
  1. lab4_5

    0下载:
  2. 用VHDL实现串行除法器,16位被除数,8位除数-Using VHDL serial divider, 16 dividend, divisor 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5363
    • 提供者:Wang Kaiyue
  1. divider

    0下载:
  2. 位数可以任意修改的除法器,本人亲自测试,可以使用,效率和使用资源都是很少的-its a very good divider based on Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1171
    • 提供者:陈成
  1. div

    0下载:
  2. 这是我用verilog写的一个电平触发的一个除法器,文件在压缩包内,开发环境是Quartus II。-this is a file of divide using verilog language.
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:1040
    • 提供者:张浩
« 1 2 ... 4 5 6 7 8 910 11 12 »
搜珍网 www.dssz.com