CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 3 4 5 6 7 89 10 11 12 13 ... 33645 »
  1. stm32f30x标准固件库V1.2.3

    3下载:
  2. stm32f30x资源稀缺,这个资源st官网已经下载不到了,这个本人在做项目过程中积累的,供大家下载使用。
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2019-08-21
    • 文件大小:83552811
    • 提供者:chansoncc
  1. stm32固件库例程

    0下载:
  2. stm32开发的固件库例程,学习32位单片机入门程序(Firmware library routines developed by stm32)
  3. 所属分类:单片机开发

    • 发布日期:2018-04-21
    • 文件大小:83189760
    • 提供者:Hartnakig
  1. Comprehensive_FM_IP

    1下载:
  2. 在vivado平台上的用verilog语言编写的FM直接调制程序(On vivado platform of FM modulation directly program written in verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:83075072
    • 提供者:wuyuyanglei
  1. StudentGarage_mfc

    0下载:
  2. 简单地三层电梯程序,通过代码实现电梯上行下降及开关门(Simply three layers of elevator program, through the code to achieve the elevator down and open the door)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-18
    • 文件大小:82976768
    • 提供者:厚德求真
  1. stm32cubemx

    0下载:
  2. ST 代码生成器,用于研发工程师生成基本代码。(ST code generator, used for R & D engineers to generate basic code.)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-11
    • 文件大小:82801664
    • 提供者:赵宏润
  1. RepRap_Prusa_i3_Marlin_firmware

    0下载:
  2. PRUSA_I3打印机的固件和源码,包含教程-PRUSA_I3 printer firmware and source code, including tutorials
  3. 所属分类:SCM

    • 发布日期:2017-12-22
    • 文件大小:82728960
    • 提供者:hucanpei
  1. Temp-detect

    0下载:
  2. 基于STM32F103,使用NTC3950 100k温度传感器来检测64个点的温度(STM32F103, measure the temperature of 64 points)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-22
    • 文件大小:82429952
    • 提供者:EvanBin
  1. PT6311_GPS_C51

    0下载:
  2. STC15 Pt6311 M11BT222 GPS
  3. 所属分类:SCM

    • 发布日期:2017-12-18
    • 文件大小:81678336
    • 提供者:liang
  1. 移植好的CNC 源码

    2下载:
  2. 用于毕业设计的激光雕刻机源代码,硬件平台为野火stm32f103开发板,42步进电机,电机驱动为A4988。压缩包里面包含单片机源代码,nc文件生成软件(Inkscape)用来制作,nc文件发送软件(GRBL control)(For graduation design laser engraving machine source code, the hardware platform for wildfire STM32F103 development board, 42 step motor
  3. 所属分类:单片机开发

    • 发布日期:2018-04-22
    • 文件大小:81299456
    • 提供者:嘿~碳
  1. ADC_cha

    0下载:
  2. ADC,Analog-to-Digital Converter的缩写,指模/数转换器或者模拟/数字转换器。是指将连续变量的模拟信号转换为离散的数字信号的器件。真实世界的模拟信号,例如温度、压力、声音或者图像等,需要转换成更容易储存、处理和发射的数字形式。模/数转换器可以实现这个功能,在各种不同的产品中都可以找到它的身影。(ADC Analog-to-Digital, the abbreviation of Converter fingerprint / digital converter or
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:81098752
    • 提供者:一凡么
  1. LabVIEW 打卡出勤系统

    1下载:
  2. 使用LabVIEW 面向对象对象语言,并结合actor framework 编写的打卡出勤系统
  3. 所属分类:VHDL编程

    • 发布日期:2019-02-21
    • 文件大小:80738791
    • 提供者:molata
  1. STM32 RS485串口通讯实验

    1下载:
  2. STM32 RS485串口通讯实验(基础篇)对于初学者比较好,用的标准库,万事开头难,一起弄吧。
  3. 所属分类:微处理器(ARM/PowerPC等)

« 1 2 3 4 5 6 7 89 10 11 12 13 ... 33645 »
搜珍网 www.dssz.com