CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程

文件名称:071221088

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    7.04mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

实现一个简单的单周期流水线CPU,使用verilog语言开发 在quartus平台下运行-Implement a simple single-cycle pipelined CPU, using verilog language development platform running in quartus
(系统自动生成,下载前可以参看下载内容)

下载文件列表

{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/Adder_32.v
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/ALU_Of_CPU.v
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/ALU_Of_CPU.v.bak
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/mux_3nc.tdf
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/mux_aqc.tdf
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/mux_ioc.tdf
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/mux_joc.tdf
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/mux_ooc.tdf
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.asm.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.fit.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.map.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.sim.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/prev_cmp_SingleCPU_071221014_chenjyuanjun.tan.qmsg
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(0).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(0).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(1).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(1).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(10).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(10).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(11).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(11).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(12).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(12).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(13).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(13).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(14).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(14).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(15).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(15).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(16).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(16).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(17).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(17).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(18).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(18).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(19).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(19).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(2).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(2).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(20).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(20).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(21).cnf.cdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_chenjyuanjun.(21).cnf.hdb
{单时钟周期CPU的设计实验}{A}陈园军_071221014 第一次提交/SingleCPU_071221014_chenjyuanjun/db/SingleCPU_071221014_ch

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com