CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:caitiao

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    2.59mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

运用VHDL,Verilog语言编写的实现显示器显示彩条的硬件控制系统,下载到Virtex2Pro实验板FPGA上,外接显示器即可,相当于一个简单的显示卡驱动程序,不过是用纯硬件实现的-The use of VHDL, Verilog language to achieve color display of the hardware control system, downloaded to the FPGA board Virtex2Pro experiment, an external display can be equivalent to a simple video card driver, but is a pure hardware implementation
(系统自动生成,下载前可以参看下载内容)

下载文件列表

caitiao/.svga.v.swp
caitiao/.svgatest.v.swp
caitiao/bb/bb.ise
caitiao/bb/bb.ntrc_log
caitiao/bb/bb.restore
caitiao/bb/bb_xdb/cst.xbcd
caitiao/bb/bb_xdb/tmp/ise/version
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects__
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_objects___StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/__stored_object_table__
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/ProjectNavigatorGui/GuiProjectData_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-svga
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-svga_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default
caitiao/bb/bb_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/common/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/Cs/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/fuse/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/idem/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/map/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/netgen/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/par/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/ProjectNavigatorGui/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/runner/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/SrcCtrl/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/bitgen/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/map/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/ngdbuild/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/par/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/STE/trce/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/taengine/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/trce/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/tsim/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/WebTalk/DesignDataCollection/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/WebTalk/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/xreport/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/xst/regkeys
caitiao/bb/bb_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys
caitiao/bb/bb_xdb/tmp/ise.lock
caitiao/bb/device_usage_statistics.html
caitiao/bb/svga.bgn
caitiao/bb/svga.bit
caitiao/bb/svga.bld
caitiao/bb/svga.cmd_log
caitiao/bb/svga.drc
caitiao/bb/svga.edf
caitiao/bb/svga.log
caitiao/bb/svga.ncd
caitiao/bb/svga.ngd
caitiao/bb/svga.pad
caitiao/bb/svga.par
caitiao/bb/svga.pcf
caitiao/bb/svga.ptwx
caitiao/bb/svga.twr
caitiao/bb/svga.twx
caitiao/bb/svga.unroutes
caitiao/bb/svga.ut
caitiao/bb/svga.xpi
caitiao/bb/svga_guide.ncd
caitiao/bb/svga_map.map
caitiao/bb/svga_map.mrp
caitiao/bb/svga_map.ncd
caitiao/bb/svga_map.ngm
caitiao/bb/svga_map.xrpt
caitiao/bb/svga_ngdbuild.xrpt
caitiao/bb/svga_pad.csv
caitiao/bb/svga_pad.txt
caitiao/bb/svga_par.xrpt
caitiao/bb/svga_summary.html
caitiao/bb/svga_summary.xml
caitiao/bb/svga_ucf.ucf
caitiao/bb/svga_usage.xml
caitiao/bb/test.txt
caitiao/bb/_ngo/netlist.lst
caitiao/bb/_ngo/svga.ngo
caitiao/bb/_xmsgs/bitgen.xmsgs
caitiao/bb/_xmsgs/map.xmsgs
caitiao/bb/_xmsgs/ngdbuild.xmsgs
caitiao/bb/_xmsgs/par.xmsgs
caitiao/bb/_xmsgs/trce.xmsgs
caitiao/dd/dd.ise
caitiao/dd/dd.ise_ISE_Backup
caitiao/dd/dd.ntrc_log
caitiao/dd/dd.restore
caitiao/dd/dd_ise10migration.zip
caitiao/dd/dd_xdb/cst.xbcd
caitiao/dd/dd_xdb/tmp/ise/version
caitiao/dd/dd_xdb/tmp/ise/__OBJSTORE__/common/HierarchicalDesign/HDProject
caitiao/dd/dd_xdb/tmp/ise/__OBJSTORE__/common/H

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com