CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:project1_supplemental1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    1.68mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

these are projects based on verilog like memory control, sdram control etc-these are projects based on verilog like memory control, sdram control etc..
(系统自动生成,下载前可以参看下载内容)

下载文件列表

alu.v
ascii_romv1.1.v
asyncrxfifo.edn
base.mif
base_v1.0.s
beq.s
bht.edn
bht.v
bht.veo
bht.xco
bht.xcp
bin2HexLED.v
bootrom.coe
bootrom.edn
bootrom.mif
bootrom.txt
bootrom.v
bootrom.veo
bootrom.xco
bootrom.xcp
bp.v
BP_Resolver.v
bts32.v
cache.v
cache_control.xls
cache_ctrl.v
cache_design.vsd
control.xls
controller.v
corner.mif
counter.v
cycle.mif
cycle_v1.1.s
D_cache.v
d_cache_tb.v
data_mem.edn
data_mem.v
data_mem.veo
data_mem.xco
data_mem.xcp
data_tagfile.edn
data_tagfile.mif
data_tagfile.v
data_tagfile.veo
data_tagfile.xco
data_tagfile.xcp
datapath.vsd
datapath_v.v
dc_detail.vsd
debouncer.v
def.v
design.doc
dinselect.v
Drawing1.vsd
edge_detector.v
extend.v
extra.mif
extra_v1.0.s
final.ppt
finalprojreport.doc
forward.v
fpga_top2.v
hammer.mif
hammer_v1.0.s
hdetect.v
HiLoReg.v
I_cache.v
I_cache_control.xls
I_cache_ctrl.v
ic_detail.vsd
inst_mem.edn
inst_mem.v
inst_mem.veo
inst_mem.xco
inst_mem.xcp
inst_tagfile.edn
inst_tagfile.mif
inst_tagfile.v
inst_tagfile.veo
inst_tagfile.xco
inst_tagfile.xcp
ippacketmem.edn
j.v
lab4group02blackbox.edf
lab4group02blackbox.v
lru_file.edn
lru_file.mif
lru_file.v
lru_file.veo
lru_file.xco
lru_file.xcp
memio.v
memory_arbiter.v
memory_control.v
monitor.v
mt48lc16m16a2.v
multAdder.v
multControl.v
multDatapath.v
mx2.v
mx3.v
mx4.v
mx5.v
notebook-bruce.txt
notebook-charles.txt
notebook-jackie.txt
notebook-otto.txt
notebook-teddy.txt
Presentation1.ppt
quick_sort.mif
quick_sort_v1.0.s
ram128_32bit.edn
ram128_32bit.v
ram128_32bit.veo
ram128_32bit.xco
ram128_32bit.xcp
ram128_32bit_dual.edn
ram128_32bit_dual.v
ram128_32bit_dual.veo
ram128_32bit_dual.xco
ram128_32bit_dual.xcp
reg32.v
regfile.v
schedule.doc
shifter.v
slt.v
test_BP.v
test2.mif
test2.s
test3.mif
test3.s
testCache.v
testDiv.v
testDivu.v
testFM.v
testMult.v
testMultu.v
testSigned.s
testUnsigned.mif
testUnsigned.s
tftp_mem.edn
tftp_mem.v
tftp_mem.veo
tftp_mem.xco
tftp_mem.xcp
tftplab4dpmem.edn
timing.twr
top_level.v
transcript
unfair_testbench.s
util.v
VC.v
VC_Block.v
VC_Control.v
VC_LRU.v

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com