CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:music

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    620.22kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

用verilog实现蜂鸣器播放音乐,板子上验证正确-Verilog implementation buzzer with music, the board verify the correct
(系统自动生成,下载前可以参看下载内容)

下载文件列表

进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Modelsim/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_4h71.tdf
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_7e71.tdf
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_tuv.tdf
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.asm.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.fit.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.map.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.tan.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(0).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(0).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(1).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(1).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(2).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(2).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(3).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(3).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(4).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(4).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(5).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(5).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(6).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(6).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(7).cnf.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(7).cnf.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.ace_cmp.bpm
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.ace_cmp.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.ace_cmp.ecobp
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.ace_cmp.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.asm.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.asm_labs.ddb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cbx.xml
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.bpm
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.ecobp
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.kpt
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.logdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.rdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp.tdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp0.ddb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp2.ddb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.cmp_merge.kpt
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.db_info
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.eco.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.fit.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.hier_info
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.hif
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.lpc.html
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.lpc.rdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.lpc.txt
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.bpm
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.ecobp
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.kpt
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.logdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map_bb.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map_bb.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.map_bb.logdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.pre_map.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.pre_map.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.PWM_MUSIC0.rtl.mif
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.rtlv.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.rtlv_sg.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.rtlv_sg_swap.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.sgdiff.cdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.sgdiff.hdb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.sld_design_entry.sci
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.sld_design_entry_dsc.sci
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.syn_hier_info
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.tan.qmsg
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.tis_db_list.ddb
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC_global_asgn_op.abo
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/compiled_partitions/
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/compiled_partitions/PWM_MUSIC.root_partition.cmp.atm
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/compiled_partitions/PWM_MUSIC.root_partition.cmp.dfp
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/compiled_partitions/PWM_MUSIC.root_partition.cmp.hdbx
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incremental_db/compiled_partitions/PWM_MUSIC.root_partition.cmp.kpt
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/incr

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com