CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 文档资料

资源列表

« 1 2 ... .44 .45 .46 .47 .48 16249.50 .51 .52 .53 .54 ... 16330 »
  1. calman滤波程序及所得图形

    0下载:
  2. calman滤波程序及所得图形
  3. 所属分类:文档资料

    • 发布日期:2009-05-01
    • 文件大小:82659
    • 提供者:zhbb101@126.com
  1. rfid防碰撞算法实现

    0下载:
  2. rfid防碰撞算法实现
  3. 所属分类:文档资料

    • 发布日期:2009-05-05
    • 文件大小:28546
    • 提供者:vic360@126.com
  1. 异步FIFO结构及FPGA设计

    0下载:
  2. 介绍异步FIFO的概念、应用及其结构,分析实现异步FIFO的难点问题及其解决办法;在传统设计的基础上提出一种新颖的电路结构并对其进行综合仿真和FPGA实现。
  3. 所属分类:文档资料

  1. 图书管理系统编码设计

    0下载:
  2. 文档的目的是描述说明图书管理系统的编码规范和主要代码的实现。主要包括编码规范,命名规范,注释规范,语句声明等说明。还有功能实现的详细c#源代码
  3. 所属分类:文档资料

    • 发布日期:2009-05-07
    • 文件大小:238080
    • 提供者:haihui0406
  1. ITU656数据协议中文版

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-05-07
    • 文件大小:452040
    • 提供者:whosyourdaddy
  1. javaee教程

    0下载:
  2. 所属分类:文档资料

  1. 电子商务客户网络购物行为挖掘

    0下载:
  2. 电子商务客户网络购物行为挖掘.pdf
  3. 所属分类:文档资料

  1. RS232串口通信电平转化

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-05-08
    • 文件大小:9400
    • 提供者:fjj027@163.com
  1. cn_mega128-128L

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2009-05-08
    • 文件大小:2599004
    • 提供者:fjj027@163.com
  1. 4G无线网络技术

    0下载:
  2. 4G无线网络技术,英文版,经典
  3. 所属分类:文档资料

  1. matlab实用建模教程

    0下载:
  2. matlab实用建模教程
  3. 所属分类:文档资料

    • 发布日期:2009-05-08
    • 文件大小:162014
    • 提供者:zhbb101@126.com
  1. 自动售货机VHDL程序与仿真

    0下载:
  2. library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
  3. 所属分类:文档资料

« 1 2 ... .44 .45 .46 .47 .48 16249.50 .51 .52 .53 .54 ... 16330 »
搜珍网 www.dssz.com