CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:b8bit_adder

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    507.88kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

8位的加法器设计,分4个工程完成的,用的是Quartus II软件。-eight of the adder design, four hours to complete the project, using the Quartus II software.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

f_adder/f_adder.qpf
f_adder/f_adder.qsf
f_adder/db/f_adder.db_info
f_adder/db/f_adder_cmp.qrpt
f_adder/db/f_adder.cbx.xml
f_adder/db/f_adder.hif
f_adder/db/f_adder.(0).cnf.cdb
f_adder/db/f_adder.(0).cnf.hdb
f_adder/db/f_adder.(1).cnf.cdb
f_adder/db/f_adder.(1).cnf.hdb
f_adder/db/f_adder.(2).cnf.cdb
f_adder/db/f_adder.(2).cnf.hdb
f_adder/db/f_adder.hier_info
f_adder/db/f_adder.psp
f_adder/db/f_adder.syn_hier_info
f_adder/db/f_adder.rtlv_sg.cdb
f_adder/db/f_adder.rtlv.hdb
f_adder/db/f_adder.rtlv_sg_swap.cdb
f_adder/db/f_adder.pre_map.hdb
f_adder/db/f_adder.pre_map.cdb
f_adder/db/f_adder.sgdiff.cdb
f_adder/db/f_adder.sgdiff.hdb
f_adder/db/f_adder.map.cdb
f_adder/db/f_adder.map.hdb
f_adder/db/f_adder.fit.qmsg
f_adder/db/f_adder.asm.qmsg
f_adder/db/f_adder.tan.qmsg
f_adder/db/f_adder.cmp.tdb
f_adder/db/f_adder.cmp0.ddb
f_adder/db/f_adder.cmp.cdb
f_adder/db/f_adder.signalprobe.cdb
f_adder/db/f_adder.cmp.hdb
f_adder/db/f_adder.cmp.rdb
f_adder/db/f_adder.map.qmsg
f_adder/db/f_adder.fnsim.cdb
f_adder/db/f_adder.fnsim.hdb
f_adder/db/f_adder.sld_design_entry_dsc.sci
f_adder/db/f_adder.sim.qmsg
f_adder/db/f_adder.sim.hdb
f_adder/db/f_adder.eds_overflow
f_adder/db/f_adder.sim.vwf
f_adder/db/f_adder.sim.rdb
f_adder/db/f_adder_sim.qrpt
f_adder/db/f_adder.sld_design_entry.sci
f_adder/db/f_adder.eco.cdb
f_adder/db
f_adder/f_adder.vhd
f_adder/f_adder.map.eqn
f_adder/f_adder.map.rpt
f_adder/f_adder.flow.rpt
f_adder/f_adder.map.summary
f_adder/f_adder.fit.eqn
f_adder/f_adder.pin
f_adder/f_adder.fit.rpt
f_adder/f_adder.fit.summary
f_adder/f_adder.sof
f_adder/f_adder.pof
f_adder/f_adder.asm.rpt
f_adder/f_adder.tan.summary
f_adder/f_adder.tan.rpt
f_adder/f_adder.done
f_adder/f_adder.vwf
f_adder/f_adder.sim.rpt
f_adder/f_adder.qws
f_adder/cmp_state.ini
f_adder
h_adder/h_adder.qpf
h_adder/h_adder.qsf
h_adder/db/h_adder.db_info
h_adder/db/h_adder.cbx.xml
h_adder/db/h_adder_cmp.qrpt
h_adder/db/h_adder.hif
h_adder/db/h_adder.(0).cnf.cdb
h_adder/db/h_adder.(0).cnf.hdb
h_adder/db/h_adder.hier_info
h_adder/db/h_adder.rtlv_sg.cdb
h_adder/db/h_adder.rtlv.hdb
h_adder/db/h_adder.rtlv_sg_swap.cdb
h_adder/db/h_adder.pre_map.hdb
h_adder/db/h_adder.pre_map.cdb
h_adder/db/h_adder.psp
h_adder/db/h_adder.sgdiff.cdb
h_adder/db/h_adder.sgdiff.hdb
h_adder/db/h_adder.syn_hier_info
h_adder/db/h_adder.map.cdb
h_adder/db/h_adder.map.hdb
h_adder/db/h_adder.fit.qmsg
h_adder/db/h_adder.asm.qmsg
h_adder/db/h_adder.tan.qmsg
h_adder/db/h_adder.cmp.tdb
h_adder/db/h_adder.cmp0.ddb
h_adder/db/h_adder.cmp.cdb
h_adder/db/h_adder.signalprobe.cdb
h_adder/db/h_adder.cmp.hdb
h_adder/db/h_adder.cmp.rdb
h_adder/db/h_adder.map.qmsg
h_adder/db/h_adder.fnsim.cdb
h_adder/db/h_adder.fnsim.hdb
h_adder/db/h_adder.sld_design_entry_dsc.sci
h_adder/db/h_adder_sim.qrpt
h_adder/db/h_adder.sim.qmsg
h_adder/db/h_adder.sim.hdb
h_adder/db/h_adder.eds_overflow
h_adder/db/h_adder.sim.vwf
h_adder/db/h_adder.sim.rdb
h_adder/db/h_adder.sld_design_entry.sci
h_adder/db/h_adder.eco.cdb
h_adder/db
h_adder/h_adder.vhd
h_adder/h_adder.map.eqn
h_adder/h_adder.map.rpt
h_adder/h_adder.flow.rpt
h_adder/h_adder.map.summary
h_adder/h_adder.fit.eqn
h_adder/h_adder.pin
h_adder/h_adder.fit.rpt
h_adder/h_adder.fit.summary
h_adder/h_adder.sof
h_adder/h_adder.pof
h_adder/h_adder.asm.rpt
h_adder/h_adder.tan.summary
h_adder/h_adder.tan.rpt
h_adder/h_adder.done
h_adder/h_adder.vwf
h_adder/h_adder.sim.rpt
h_adder/h_adder.qws
h_adder/cmp_state.ini
h_adder
ior2/ior2.qpf
ior2/ior2.qsf
ior2/db/ior2.db_info
ior2/db/ior2_cmp.qrpt
ior2/db/ior2.cbx.xml
ior2/db/ior2.hif
ior2/db/ior2.(0).cnf.cdb
ior2/db/ior2.(0).cnf.hdb
ior2/db/ior2.hier_info
ior2/db/ior2.psp
ior2/db/ior2.syn_hier_info
ior2/db/ior2.fnsim.hdb
ior2/db/ior2.sim.qmsg
ior2/db/ior2.sim.hdb
ior2/db/ior2.eds_overflow
ior2/db/ior2.sim.vwf
ior2/db/ior2.sim.rdb
ior2/db/ior2_sim.qrpt
ior2/db/ior2.map.qmsg
ior2/db/ior2.rtlv_sg.cdb
ior2/db/ior2.rtlv.hdb
ior2/db/ior2.rtlv_sg_swap.cdb
ior2/db/ior2.pre_map.hdb
ior2/db/ior2.pre_map.cdb
ior2/db/ior2.sgdiff.cdb
ior2/db/ior2.sgdiff.hdb
ior2/db/ior2.sld_design_entry_dsc.sci
ior2/db/ior2.map.cdb
ior2/db/ior2.map.hdb
ior2/db/ior2.fit.qmsg
ior2/db/ior2.asm.qmsg
ior2/db/ior2.tan.qmsg
ior2/db/ior2.cmp.tdb
ior2/db/ior2.cmp0.ddb
ior2/db/ior2.cmp.cdb
ior2/db/ior2.signalprobe.cdb
ior2/db/ior2.cmp.hdb
ior2/db/ior2.cmp.rdb
ior2/db/ior2.sld_design_entry.sci
ior2/db/ior2.eco.cdb
ior2/db
ior2/ior2.qws
ior2/cmp_state.ini
ior2/ior2.vhd
ior2/ior2.map.eqn
ior2/ior2.map.rpt
ior2/ior2.flow.rpt
ior2/ior2.map.summary
ior2/ior2.fit.eqn
ior2/ior2.pin
ior2/ior2.fit.rpt
ior2/ior2.fit.summary
ior2/ior2.sof
ior2/ior2.pof
ior2/ior2.asm.rpt
ior2/ior2.tan.summary
ior2/ior2.tan.rpt
ior2/ior2.done
ior2/ior2.vwf
ior2/ior2.sim.rpt
ior2
b8_adder/b8_adder.qpf
b8_adder/b8_adder.qsf
b8_adder/db/b8_adder.db_info
b8_adder/db/b8_adder.sim.hdb
b8_adder/db/b8_adder.sim.vwf
b8_adder/db/b8_adder.sim.rdb
b8_adder/db/b8_adder.sim.qmsg
b8_adder/db/b8_adder_cmp.qrpt
b8_adder/db/b8_adder.cbx.xml
b8_adder/db/b8_adder.eco.cdb
b8_adder/db/b8_adder.sld_design_entry.sci
b8_adder/db/b8_adder.hif
b8_adder/db/b8_adder.(0).cnf.cdb
b8_adder/db/b8_adder.(0).cnf.hdb
b8_adder/db/b8_adder.(1).cnf.cdb
b8_adder/

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com