CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 微处理器(ARM/PowerPC等)

文件名称:APB_7-SEG_LED_finish_code

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-12-04
  • 文件大小:
    7.13mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

this is a velilog code of 7-segment
(系统自动生成,下载前可以参看下载内容)

下载文件列表

APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb_p8_rec/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb_p8_rec/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/APB/ahb2apb_p8_rec/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/APB/muxp2b/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/APB/muxp2b/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/APB/muxp2b/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/APB/_info
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_dummy_slave_rec/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_dummy_slave_rec/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_dummy_slave_rec/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led_rec/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led_rec/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/apb_seg_led_rec/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/bin2seg/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/bin2seg/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/bin2seg/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/seven_seg/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/seven_seg/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/seven_seg/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top_tb/_primary.dat
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top_tb/_primary.vhd
APB_7-SEG_LED_finish_code/APB_testbench/work/sim_top_tb/verilog.asm
APB_7-SEG_LED_finish_code/APB_testbench/work/_info
APB_7-SEG_LED_finish_code/APB_testbench/APB_7SEG_LED.cr.mti
APB_7-SEG_LED_finish_code/APB_testbench/APB_7SEG_LED.mpf
APB_7-SEG_LED_finish_code/APB_testbench/apb_7seg.bmp
APB_7-SEG_LED_finish_code/APB_testbench/apb_7seg.do
APB_7-SEG_LED_finish_code/APB_testbench/apb_7seg.wlf
APB_7-SEG_LED_finish_code/APB_testbench/apb_dummy_slave_rec.v
APB_7-SEG_LED_finish_code/APB_testbench/apb_seg_led.v
APB_7-SEG_LED_finish_code/APB_testbench/apb_seg_led_rec.v
APB_7-SEG_LED_finish_code/APB_testbench/fileconv.pl
APB_7-SEG_LED_finish_code/APB_testbench/filestim.frd
APB_7-SEG_LED_finish_code/APB_testbench/filestim.fri
APB_7-SEG_LED_finish_code/APB_testbench/seven_seg.v
APB_7-SEG_LED_finish_code/APB_testbench/sim_top.v
APB_7-SEG_LED_finish_code/APB_testbench/sim_top_tb.v
APB_7-SEG_LED_finish_code/APB_testbench/transcript
APB_7-SEG_LED_finish_code/arm/test/test_Data/Debug/ObjectCode/initmn.o
APB_7-SEG_LED_finish_code/arm/test/test_Data/Debug/ObjectCode/test.o
APB_7-SEG_LED_finish_code/arm/test/test_Data/Debug/TargetDataWindows.tdt
APB_7-SEG_LED_finish_code/arm/test/test_Data/Debug/test.axf
APB_7-SEG_LED_finish_code/arm/test/test_Data/Debug/test.map
APB_7-SEG_LED_finish_code/arm/test/test_Data/DebugRel/TargetDataWindows.tdt
APB_7-SEG_LED_finish_code/arm/test/test_Data/Release/TargetDataWindows.tdt
APB_7-SEG_LED_finish_code/arm/test/test_Data/CWSettingsWindows.stg
APB_7-SEG_LED_finish_code/arm/test/test.bin
APB_7-SEG_LED_finish_code/arm/test/test.mcp
APB_7-SEG_LED_finish_code/arm/config.h
APB_7-SEG_LED_finish_code/arm/console.h
APB_7-SEG_LED_finish_code/arm/easySOC.h
APB_7-SEG_LED_finish_code/arm/initmn.s
APB_7-SEG_LED_finish_code/arm/test.c
APB_7-SEG_LED_finish_code/db/altsyncram_1vi2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_31j2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_9mi1.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_a0g2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_a1g2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_bui2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_e4g2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_e7l1.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_fie2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_fui2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_g4g2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_kce2.tdf
APB_7-SEG_LED_finish_code/db/altsyncram_toi1.tdf
APB_7-SEG_LED_finish_code/db/cmpr_1vh.tdf
APB_7-SEG_LED_finish_code/db/cmpr_nth.tdf
APB_7-SEG_LED_finish_code/db/cntr_1qi.tdf
APB_7-SEG_LED_finish_code/db/cntr_2hj.tdf
APB_7-SEG_LED_finish_code/db/cntr_3di.tdf
APB_7-SEG_LED_finish_code/db/cntr_3mk.tdf
APB_7-SEG_LED_finish_code/db/cntr_djk.tdf
APB_7-SEG_LED_finish_code/db/cntr_joh.tdf
APB_7-SEG_LED_finish_code/db/cntr_loh.tdf
APB_7-SEG_LED_finish_code/db/cntr_noi.tdf
APB_7-SEG_LED_finish_code/db/cntr_ofj.tdf
APB_7-SEG_LED_finish_code/db/cntr_pfi.tdf
APB_7-SEG_LED_finish_code/db/cntr_voh.tdf
APB_7-SEG_LED_finish_code/db/decode_1oa.tdf
APB_7-SEG_LED_finish_code/db/decode_9oa.tdf
APB_7-SEG_LED_finish_code/db/decode

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com