CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:dc_rmv

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2013-05-21
  • 文件大小:
    4.54mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

这是一个用verilog写的DC滤波器,即melp算法中预处理部分,主要滤除50hz工频干扰,采用一个4阶的切比雪夫高通滤波器,截去频率位60hz以下的信号,其阻带的衰减位30db。-This is a verilog to write a DC filter the preprocessing part that melp algorithm, main filter 50hz frequency interference, the use of a fourth-order Chebyshev high-pass filter, the truncated frequency bit 60hz signal, its resistance with attenuation bit 30dB.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

dc_rmv/1.cr.mti
dc_rmv/1.mpf
dc_rmv/220model.v
dc_rmv/altera_mf.v
dc_rmv/altera_primitives.v
dc_rmv/db/dc_rmv.(0).cnf.cdb
dc_rmv/db/dc_rmv.(0).cnf.hdb
dc_rmv/db/dc_rmv.(1).cnf.cdb
dc_rmv/db/dc_rmv.(1).cnf.hdb
dc_rmv/db/dc_rmv.(2).cnf.cdb
dc_rmv/db/dc_rmv.(2).cnf.hdb
dc_rmv/db/dc_rmv.(3).cnf.cdb
dc_rmv/db/dc_rmv.(3).cnf.hdb
dc_rmv/db/dc_rmv.asm.qmsg
dc_rmv/db/dc_rmv.asm_labs.ddb
dc_rmv/db/dc_rmv.cbx.xml
dc_rmv/db/dc_rmv.cmp.bpm
dc_rmv/db/dc_rmv.cmp.cdb
dc_rmv/db/dc_rmv.cmp.ecobp
dc_rmv/db/dc_rmv.cmp.hdb
dc_rmv/db/dc_rmv.cmp.logdb
dc_rmv/db/dc_rmv.cmp.rdb
dc_rmv/db/dc_rmv.cmp.tdb
dc_rmv/db/dc_rmv.cmp0.ddb
dc_rmv/db/dc_rmv.cmp_bb.cdb
dc_rmv/db/dc_rmv.cmp_bb.hdb
dc_rmv/db/dc_rmv.cmp_bb.logdb
dc_rmv/db/dc_rmv.cmp_bb.rcf
dc_rmv/db/dc_rmv.dbp
dc_rmv/db/dc_rmv.db_info
dc_rmv/db/dc_rmv.eco.cdb
dc_rmv/db/dc_rmv.fit.qmsg
dc_rmv/db/dc_rmv.hier_info
dc_rmv/db/dc_rmv.hif
dc_rmv/db/dc_rmv.map.bpm
dc_rmv/db/dc_rmv.map.cdb
dc_rmv/db/dc_rmv.map.ecobp
dc_rmv/db/dc_rmv.map.hdb
dc_rmv/db/dc_rmv.map.logdb
dc_rmv/db/dc_rmv.map.qmsg
dc_rmv/db/dc_rmv.map_bb.cdb
dc_rmv/db/dc_rmv.map_bb.hdb
dc_rmv/db/dc_rmv.map_bb.logdb
dc_rmv/db/dc_rmv.pre_map.cdb
dc_rmv/db/dc_rmv.pre_map.hdb
dc_rmv/db/dc_rmv.psp
dc_rmv/db/dc_rmv.pss
dc_rmv/db/dc_rmv.rpp.qmsg
dc_rmv/db/dc_rmv.rtlv.hdb
dc_rmv/db/dc_rmv.rtlv_sg.cdb
dc_rmv/db/dc_rmv.rtlv_sg_swap.cdb
dc_rmv/db/dc_rmv.sgate.rvd
dc_rmv/db/dc_rmv.sgate_sm.rvd
dc_rmv/db/dc_rmv.sgdiff.cdb
dc_rmv/db/dc_rmv.sgdiff.hdb
dc_rmv/db/dc_rmv.signalprobe.cdb
dc_rmv/db/dc_rmv.sld_design_entry.sci
dc_rmv/db/dc_rmv.sld_design_entry_dsc.sci
dc_rmv/db/dc_rmv.syn_hier_info
dc_rmv/db/dc_rmv.tan.qmsg
dc_rmv/db/dc_rmv.tis_db_list.ddb
dc_rmv/db/mult_hoq.tdf
dc_rmv/db/prev_cmp_dc_rmv.asm.qmsg
dc_rmv/db/prev_cmp_dc_rmv.fit.qmsg
dc_rmv/db/prev_cmp_dc_rmv.map.qmsg
dc_rmv/db/prev_cmp_dc_rmv.qmsg
dc_rmv/db/prev_cmp_dc_rmv.tan.qmsg
dc_rmv/dc_input.dat
dc_rmv/dc_rmv.asm.rpt
dc_rmv/dc_rmv.bsf
dc_rmv/dc_rmv.done
dc_rmv/dc_rmv.dpf
dc_rmv/dc_rmv.fit.rpt
dc_rmv/dc_rmv.fit.smsg
dc_rmv/dc_rmv.fit.summary
dc_rmv/dc_rmv.flow.rpt
dc_rmv/dc_rmv.map.rpt
dc_rmv/dc_rmv.map.summary
dc_rmv/dc_rmv.pin
dc_rmv/dc_rmv.pof
dc_rmv/dc_rmv.qpf
dc_rmv/dc_rmv.qsf
dc_rmv/dc_rmv.sof
dc_rmv/dc_rmv.tan.rpt
dc_rmv/dc_rmv.tan.summary
dc_rmv/dc_rmv.v
dc_rmv/dc_rmv.v.bak
dc_rmv/dc_rmv_sim.v
dc_rmv/Define_file.v
dc_rmv/mult16_16.v
dc_rmv/mult_add.v
dc_rmv/ram_16_512.v
dc_rmv/transcript
dc_rmv/vsim.wlf
dc_rmv/wave.do
dc_rmv/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.asm
dc_rmv/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.rw
dc_rmv/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
dc_rmv/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dbs
dc_rmv/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
dc_rmv/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dat
dc_rmv/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dbs
dc_rmv/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.vhd
dc_rmv/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/verilog.asm
dc_rmv/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/verilog.rw
dc_rmv/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dat
dc_rmv/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dbs
dc_rmv/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.vhd
dc_rmv/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
dc_rmv/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dbs
dc_rmv/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
dc_rmv/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/verilog.asm
dc_rmv/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/verilog.rw
dc_rmv/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dat
dc_rmv/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dbs
dc_rmv/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.vhd
dc_rmv/work/@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dat
dc_rmv/work/@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dbs
dc_rmv/work/@l@p@m_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.vhd
dc_rmv/work/@m@f_cycloneiii_pll/_primary.dat
dc_rmv/work/@m@f_cycloneiii_pll/_primary.dbs
dc_rmv/work/@m@f_cycloneiii_pll/_primary.vhd
dc_rmv/work/@m@f_pll_reg/_primary.dat
dc_rmv/work/@m@f_pll_reg/_primary.dbs
dc_rmv/work/@m@f_pll_reg/_primary.vhd
dc_rmv/work/@m@f_ram7x20_syn/_primary.dat
dc_rmv/work/@m@f_ram7x20_syn/_primary.dbs
dc_rmv/work/@m@f_ram7x20_syn/_primary.vhd
dc_rmv/work/@m@f_stratixiii_pll/_primary.dat
dc_rmv/work/@m@f_stratixiii_pll/_primary.dbs
dc_rmv/work/@m@f_stratixiii_pll/_primary.vhd
dc_rmv/work/@m@f_stratixii_pll/_primary.dat
dc_rmv/work/@m@f_stratixii_pll/_primary.dbs
dc_rmv/work/@m@f_stratixii_pll/_primary.vhd
dc_rmv/work/@m@f_stratix_pll/_primary.dat
dc_rmv/work/@m@f_stratix_pll/_primary.dbs
dc_rmv/work/@m@f_stratix_pll/_primary.vhd
dc_rmv/work/@t@r@i/_primary.dat
dc_rmv/work/@t@r@i/_primary.dbs
dc_rmv/work/@t@r@i/_primary.vhd
dc_rmv/work/@_opt/vopt1e423d
dc_rmv/work/@_opt/vopt1fa4ib
dc_rmv/work/@_opt/vopt2qr7kw
dc_rmv/work/@_opt/vopt3kdhxc
dc_rmv/work/@_opt/vopt3w7fbe
dc_rmv/work/@_opt/vopt

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com