CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Four-quiz-Responder

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-09-16
  • 文件大小:
    257.44kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。-Using VHDL language quiz four Responder.Responder main function modules are: 1, for the first answer to identify and latch signal 2, scoring function. 3, digital display 4, answer limited functionality. In this design focuses answer, scoring and warning functions.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.asm.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.done
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.fit.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.fit.summary
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.flow.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.map.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.map.summary
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.pin
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.pof
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.qpf
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.qsf
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.qws
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.sim.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.sof
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.tan.rpt
四路智力抢答器/四路智力竞赛抢答器/ch4_qiangdaqi.tan.summary
四路智力抢答器/四路智力竞赛抢答器/daojishi.vhd
四路智力抢答器/四路智力竞赛抢答器/daojishi.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/daojishi.vwf
四路智力抢答器/四路智力竞赛抢答器/db/add_sub_anh.tdf
四路智力抢答器/四路智力竞赛抢答器/db/add_sub_cnh.tdf
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(0).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(0).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(1).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(1).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(10).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(10).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(2).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(2).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(3).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(3).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(4).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(4).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(5).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(5).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(6).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(6).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(7).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(7).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(8).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(8).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(9).cnf.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.(9).cnf.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.asm.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cbx.xml
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp.logdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp.rdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp.tdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.cmp0.ddb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.dbp
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.db_info
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.eco.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.eds_overflow
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.fit.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.fnsim.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.fnsim.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.fnsim.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.hier_info
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.hif
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.map.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.map.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.map.logdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.map.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.pre_map.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.pre_map.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.psp
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.pss
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.rtlv.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.rtlv_sg.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.rtlv_sg_swap.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sgdiff.cdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sgdiff.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sim.cvwf
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sim.hdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sim.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sim.rdb
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sld_design_entry.sci
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.sld_design_entry_dsc.sci
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.syn_hier_info
四路智力抢答器/四路智力竞赛抢答器/db/ch4_qiangdaqi.tan.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/mux_fdc.tdf
四路智力抢答器/四路智力竞赛抢答器/db/mux_vbc.tdf
四路智力抢答器/四路智力竞赛抢答器/db/prev_cmp_ch4_qiangdaqi.asm.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/prev_cmp_ch4_qiangdaqi.fit.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/prev_cmp_ch4_qiangdaqi.map.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/prev_cmp_ch4_qiangdaqi.sim.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/prev_cmp_ch4_qiangdaqi.tan.qmsg
四路智力抢答器/四路智力竞赛抢答器/db/wed.wsf
四路智力抢答器/四路智力竞赛抢答器/jianbie.vhd
四路智力抢答器/四路智力竞赛抢答器/jianbie.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/jianbie.vwf
四路智力抢答器/四路智力竞赛抢答器/pianxuan.vhd
四路智力抢答器/四路智力竞赛抢答器/pianxuan.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/pianxuan.vwf
四路智力抢答器/四路智力竞赛抢答器/prev_cmp_ch4_qiangdaqi.qmsg
四路智力抢答器/四路智力竞赛抢答器/sanxuanyi.vhd
四路智力抢答器/四路智力竞赛抢答器/sanxuanyi.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/sanxuanyi.vwf
四路智力抢答器/四路智力竞赛抢答器/suocunqi.vhd
四路智力抢答器/四路智力竞赛抢答器/suocunqi.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/suocunqi.vwf
四路智力抢答器/四路智力竞赛抢答器/top.vhd
四路智力抢答器/四路智力竞赛抢答器/top.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/top.vwf
四路智力抢答器/四路智力竞赛抢答器/xianshi.vhd
四路智力抢答器/四路智力竞赛抢答器/xianshi.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/xianshi.vwf
四路智力抢答器/四路智力竞赛抢答器/zhuanhuan.vhd
四路智力抢答器/四路智力竞赛抢答器/zhuanhuan.vhd.bak
四路智力抢答器/四路智力竞赛抢答器/zhuanhuan.vwf
四路智力抢答器/四路智力竞赛抢答器/db
四路智力抢答器/四路智力竞赛抢答器
四路智力抢答器

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com