CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:vga

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2015-04-13
  • 文件大小:
    8.13mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

VGA project for DE0-nano
(系统自动生成,下载前可以参看下载内容)

下载文件列表

vga/
vga/DE0_User_manual_2012.pdf
vga/FPGA_VGA/
vga/FPGA_VGA.zip
vga/FPGA_VGA/.sopc_builder/
vga/FPGA_VGA/.sopc_builder/filters.xml
vga/FPGA_VGA/.sopc_builder/install.ptf
vga/FPGA_VGA/.sopc_builder/install2.ptf
vga/FPGA_VGA/.sopc_builder/preferences.xml
vga/FPGA_VGA/PLLJ_PLLSPE_INFO.txt
vga/FPGA_VGA/SDC1.sdc
vga/FPGA_VGA/SDC1.sdc.bak
vga/FPGA_VGA/clock_crossing_io.v
vga/FPGA_VGA/cpu.ocp
vga/FPGA_VGA/cpu.sdc
vga/FPGA_VGA/cpu.v
vga/FPGA_VGA/cpu_bht_ram.mif
vga/FPGA_VGA/cpu_dc_tag_ram.mif
vga/FPGA_VGA/cpu_ic_tag_ram.mif
vga/FPGA_VGA/cpu_jtag_debug_module_sysclk.v
vga/FPGA_VGA/cpu_jtag_debug_module_tck.v
vga/FPGA_VGA/cpu_jtag_debug_module_wrapper.v
vga/FPGA_VGA/cpu_mult_cell.v
vga/FPGA_VGA/cpu_oci_test_bench.v
vga/FPGA_VGA/cpu_ociram_default_contents.mif
vga/FPGA_VGA/cpu_rf_ram_a.mif
vga/FPGA_VGA/cpu_rf_ram_b.mif
vga/FPGA_VGA/cpu_test_bench.v
vga/FPGA_VGA/data_format_adapter.v
vga/FPGA_VGA/data_format_adapter.vo
vga/FPGA_VGA/empty1.asm.rpt
vga/FPGA_VGA/empty1.bdf
vga/FPGA_VGA/empty1.done
vga/FPGA_VGA/empty1.fit.rpt
vga/FPGA_VGA/empty1.fit.smsg
vga/FPGA_VGA/empty1.fit.summary
vga/FPGA_VGA/empty1.flow.rpt
vga/FPGA_VGA/empty1.jdi
vga/FPGA_VGA/empty1.map.rpt
vga/FPGA_VGA/empty1.map.smsg
vga/FPGA_VGA/empty1.map.summary
vga/FPGA_VGA/empty1.pin
vga/FPGA_VGA/empty1.qpf
vga/FPGA_VGA/empty1.qsf
vga/FPGA_VGA/empty1.sof
vga/FPGA_VGA/empty1.sta.rpt
vga/FPGA_VGA/empty1.sta.summary
vga/FPGA_VGA/jtag_uart.v
vga/FPGA_VGA/lcd_64_to_8_bits_dfa.v
vga/FPGA_VGA/lcd_64_to_8_bits_dfa.vo
vga/FPGA_VGA/lcd_64_to_8_bits_dfa_data_ram.v
vga/FPGA_VGA/lcd_64_to_8_bits_dfa_state_ram.v
vga/FPGA_VGA/lcd_pixel_fifo.v
vga/FPGA_VGA/lcd_sgdma.v
vga/FPGA_VGA/lcd_ta_fifo_to_dfa.v
vga/FPGA_VGA/lcd_ta_fifo_to_dfa.vo
vga/FPGA_VGA/lcd_ta_fifo_to_dfa_fifo.v
vga/FPGA_VGA/lcd_ta_sgdma_to_fifo.v
vga/FPGA_VGA/lcd_ta_sgdma_to_fifo.vo
vga/FPGA_VGA/led_pio.v
vga/FPGA_VGA/nios_simple.bsf
vga/FPGA_VGA/nios_simple.html
vga/FPGA_VGA/nios_simple.ptf
vga/FPGA_VGA/nios_simple.ptf.8.0
vga/FPGA_VGA/nios_simple.ptf.bak
vga/FPGA_VGA/nios_simple.ptf.pre_generation_ptf
vga/FPGA_VGA/nios_simple.qip
vga/FPGA_VGA/nios_simple.sopc
vga/FPGA_VGA/nios_simple.sopcinfo
vga/FPGA_VGA/nios_simple.v
vga/FPGA_VGA/nios_simple_clock_0.v
vga/FPGA_VGA/nios_simple_generation_script
vga/FPGA_VGA/nios_simple_inst.v
vga/FPGA_VGA/nios_simple_log.txt
vga/FPGA_VGA/nios_simple_sim/
vga/FPGA_VGA/nios_simple_sim/atail-f.pl
vga/FPGA_VGA/nios_simple_sim/jtag_uart_input_mutex.dat
vga/FPGA_VGA/nios_simple_sim/jtag_uart_input_stream.dat
vga/FPGA_VGA/nios_simple_sim/jtag_uart_output_stream.dat
vga/FPGA_VGA/pll.v
vga/FPGA_VGA/pll.vo
vga/FPGA_VGA/sdram.v
vga/FPGA_VGA/sdram_test_component.v
vga/FPGA_VGA/simgen_temp/
vga/FPGA_VGA/simgen_temp/data_format_adapter.qpf
vga/FPGA_VGA/simgen_temp/data_format_adapter.qsf
vga/FPGA_VGA/simgen_temp/data_format_adapter.v
vga/FPGA_VGA/simgen_temp/data_format_adapter.vo
vga/FPGA_VGA/simgen_temp/data_format_adapter_simgen_gate.xml
vga/FPGA_VGA/simgen_temp/db/
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.cbx.xml
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.db_info
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.hier_info
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.lpc.html
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.lpc.rdb
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.lpc.txt
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.map.qmsg
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.pre_map.cdb
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.pre_map.hdb
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.sld_design_entry_dsc.sci
vga/FPGA_VGA/simgen_temp/db/data_format_adapter.smart_action.txt
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.cbx.xml
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.db_info
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.hier_info
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.lpc.html
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.lpc.rdb
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.lpc.txt
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.map.qmsg
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.pre_map.cdb
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.pre_map.hdb
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.sld_design_entry_dsc.sci
vga/FPGA_VGA/simgen_temp/db/lcd_64_to_8_bits_dfa.smart_action.txt
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.cbx.xml
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.db_info
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.hier_info
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.lpc.html
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.lpc.rdb
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.lpc.txt
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.map.qmsg
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.pre_map.cdb
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.pre_map.hdb
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.sld_design_entry_dsc.sci
vga/FPGA_VGA/simgen_temp/db/lcd_ta_fifo_to_dfa.smart_action.txt
vga/FPGA_VGA/simgen_temp/db/lcd_ta_sgdma_to_fifo.cbx.xml
vga/FPGA_VGA/simgen_temp/db/lcd_ta_sgdma_to_fifo.db_info
vga/FPGA_VGA/simgen_temp/db/lcd_ta_sgdma_to_fifo.hier_info
vga/FPGA_VGA/simgen_temp/db/lcd_ta_sgdma_to

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com