CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程

文件名称:VGA-test

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2015-07-15
  • 文件大小:
    1.36mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

非常实用的SOPC对VGA的控制和使用,程序完成对10寸LCD屏幕的VGA控制。-SOPC very useful for VGA control and use of the program to complete the 10-inch VGA LCD screen control.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

vga/.sopc_builder/filters.xml
vga/.sopc_builder/install.ptf
vga/.sopc_builder/install2.ptf
vga/.sopc_builder/preferences.xml
vga/addr_trans.v
vga/cpu.ocp
vga/cpu.sdc
vga/cpu.v
vga/cpu_bht_ram.mif
vga/cpu_dc_tag_ram.mif
vga/cpu_ic_tag_ram.mif
vga/cpu_jtag_debug_module_sysclk.v
vga/cpu_jtag_debug_module_tck.v
vga/cpu_jtag_debug_module_wrapper.v
vga/cpu_mult_cell.v
vga/cpu_ociram_default_contents.mif
vga/cpu_rf_ram_a.mif
vga/cpu_rf_ram_b.mif
vga/cpu_test_bench.v
vga/db/altsyncram_29f1.tdf
vga/db/altsyncram_41g1.tdf
vga/db/altsyncram_9tl1.tdf
vga/db/altsyncram_9vc1.tdf
vga/db/altsyncram_bj91.tdf
vga/db/altsyncram_e502.tdf
vga/db/altsyncram_p2f1.tdf
vga/db/altsyncram_p9f1.tdf
vga/db/altsyncram_pkf1.tdf
vga/db/altsyncram_q2f1.tdf
vga/db/altsyncram_qed1.tdf
vga/db/altsyncram_t072.tdf
vga/db/altsyncram_vmu.tdf
vga/db/alt_synch_pipe_1e8.tdf
vga/db/alt_synch_pipe_tdb.tdf
vga/db/a_dpfifo_8t21.tdf
vga/db/a_fefifo_7cf.tdf
vga/db/a_gray2bin_ndb.tdf
vga/db/a_graycounter_hgc.tdf
vga/db/a_graycounter_igc.tdf
vga/db/a_graycounter_r96.tdf
vga/db/cntr_10h.tdf
vga/db/cntr_9ti.tdf
vga/db/cntr_cti.tdf
vga/db/cntr_fjb.tdf
vga/db/cntr_r7j.tdf
vga/db/cntr_rj7.tdf
vga/db/cntr_u0i.tdf
vga/db/dcfifo_hg31.tdf
vga/db/decode_aoi.tdf
vga/db/ded_mult_2o81.tdf
vga/db/dffpipe_93c.tdf
vga/db/dffpipe_ngh.tdf
vga/db/dffpipe_re9.tdf
vga/db/dffpipe_se9.tdf
vga/db/dpram_5h21.tdf
vga/db/mult_add_4cr2.tdf
vga/db/mult_add_6cr2.tdf
vga/db/prev_cmp_vga.asm.qmsg
vga/db/prev_cmp_vga.fit.qmsg
vga/db/prev_cmp_vga.map.qmsg
vga/db/prev_cmp_vga.qmsg
vga/db/prev_cmp_vga.tan.qmsg
vga/db/scfifo_1n21.tdf
vga/db/vga.db_info
vga/db/vga.eco.cdb
vga/db/vga.sld_design_entry.sci
vga/delay_reset_block.bdf
vga/delay_reset_block.bsf
vga/dot.v
vga/dotmatrix_avalon_interface.v
vga/dotmatrix_register_file.v
vga/dotmatrix_task_logic.v
vga/i2c.v
vga/i2c_master_bit_ctrl.vhd
vga/i2c_master_byte_ctrl.vhd
vga/i2c_master_top.vhd
vga/jtag_uart.v
vga/Nios_vga.bsf
vga/Nios_vga.ptf
vga/Nios_vga.ptf.bak
vga/Nios_vga.ptf.pre_generation_ptf
vga/Nios_vga.qip
vga/Nios_vga.sopc
vga/Nios_vga.sopcinfo
vga/Nios_vga.v
vga/Nios_vga_generation_script
vga/Nios_vga_log.txt
vga/Nios_vga_setup_quartus.tcl
vga/Nios_vga_sim/atail-f.pl
vga/Nios_vga_sim/dummy_file
vga/Nios_vga_sim/jtag_uart_input_mutex.dat
vga/Nios_vga_sim/jtag_uart_input_stream.dat
vga/Nios_vga_sim/jtag_uart_output_stream.dat
vga/oc_i2c_master.vhd
vga/pll.bsf
vga/pll.ppf
vga/pll.qip
vga/pll.v
vga/pll_bb.v
vga/pll_wave0.jpg
vga/pll_waveforms.html
vga/reset_counter.bsf
vga/reset_counter.v
vga/sdram.v
vga/sdram_test_component.v
vga/seg_trans.v
vga/seven_seg.v
vga/seven_segdisp_avalon_interface.v
vga/seven_segdisp_register_file.v
vga/software/vga_dis/.cdtbuild
vga/software/vga_dis/.cdtproject
vga/software/vga_dis/.project
vga/software/vga_dis/.settings/org.eclipse.cdt.core.prefs
vga/software/vga_dis/.settings/org.eclipse.cdt.managedbuilder.core.prefs
vga/software/vga_dis/application.stf
vga/software/vga_dis/Debug/generated_app.sh
vga/software/vga_dis/Debug/makefile
vga/software/vga_dis/Debug/obj/vga.d
vga/software/vga_dis/Debug/obj/vga.o
vga/software/vga_dis/Debug/subdir.mk
vga/software/vga_dis/Debug/vga_dis.elf
vga/software/vga_dis/readme.txt
vga/software/vga_dis/vga.c
vga/software/vga_dis_syslib/.cdtbuild
vga/software/vga_dis_syslib/.cdtproject
vga/software/vga_dis_syslib/.project
vga/software/vga_dis_syslib/.settings/org.eclipse.cdt.core.prefs
vga/software/vga_dis_syslib/.settings/org.eclipse.cdt.managedbuilder.core.prefs
vga/software/vga_dis_syslib/Debug/crt0.d
vga/software/vga_dis_syslib/Debug/crt0.o
vga/software/vga_dis_syslib/Debug/libvga_dis_syslib.a
vga/software/vga_dis_syslib/Debug/makefile
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_fd.d
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_fd.o
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_init.d
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_init.o
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_ioctl.d
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_ioctl.o
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_read.d
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_read.o
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_write.d
vga/software/vga_dis_syslib/Debug/obj/altera_avalon_jtag_uart_write.o
vga/software/vga_dis_syslib/Debug/obj/alt_alarm_start.d
vga/software/vga_dis_syslib/Debug/obj/alt_alarm_start.o
vga/software/vga_dis_syslib/Debug/obj/alt_busy_sleep.d
vga/software/vga_dis_syslib/Debug/obj/alt_busy_sleep.o
vga/software/vga_dis_syslib/Debug/obj/alt_close.d
vga/software/vga_dis_syslib/Debug/obj/alt_close.o
vga/software/vga_dis_syslib/Debug/obj/alt_dcache_flush.d
vga/software/vga_dis_syslib/Debug/obj/alt_dcache_flush.o
vga/software/vga_dis_syslib/Debug/obj/alt_dcache_flush_all.d
vga/software/vga_dis_syslib/Debug/obj/alt_dcache_flush_all.o
vga/software/vga_dis_syslib/Debug/obj/alt_dev.d
vga/software/vga_dis_syslib/Debug/obj/alt_dev.o
vga/software/vga_dis_syslib/Debug/obj/alt_dev_llist_insert.d
vga/software/vga_dis_syslib/Debug/obj/alt_dev_llist_insert.o
vga/software/vga_dis_s

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com