CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:project_1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-05-04
  • 文件大小:
    17.1mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用fpga实现mips处理器代码verilog-Use Code verilog fpga realize mips processor
(系统自动生成,下载前可以参看下载内容)

下载文件列表

project_1/project_1.cache/wt/java_command_handlers.wdf
project_1/project_1.cache/wt/synthesis.wdf
project_1/project_1.cache/wt/webtalk_pa.xml
project_1/project_1.ioplanning/constrs_1/designprops.xml
project_1/project_1.ioplanning/constrs_1/usercols.xml
project_1/project_1.runs/.jobs/vrs_config_1.xml
project_1/project_1.runs/.jobs/vrs_config_10.xml
project_1/project_1.runs/.jobs/vrs_config_11.xml
project_1/project_1.runs/.jobs/vrs_config_12.xml
project_1/project_1.runs/.jobs/vrs_config_13.xml
project_1/project_1.runs/.jobs/vrs_config_14.xml
project_1/project_1.runs/.jobs/vrs_config_15.xml
project_1/project_1.runs/.jobs/vrs_config_16.xml
project_1/project_1.runs/.jobs/vrs_config_17.xml
project_1/project_1.runs/.jobs/vrs_config_18.xml
project_1/project_1.runs/.jobs/vrs_config_19.xml
project_1/project_1.runs/.jobs/vrs_config_2.xml
project_1/project_1.runs/.jobs/vrs_config_20.xml
project_1/project_1.runs/.jobs/vrs_config_21.xml
project_1/project_1.runs/.jobs/vrs_config_22.xml
project_1/project_1.runs/.jobs/vrs_config_23.xml
project_1/project_1.runs/.jobs/vrs_config_24.xml
project_1/project_1.runs/.jobs/vrs_config_25.xml
project_1/project_1.runs/.jobs/vrs_config_26.xml
project_1/project_1.runs/.jobs/vrs_config_27.xml
project_1/project_1.runs/.jobs/vrs_config_28.xml
project_1/project_1.runs/.jobs/vrs_config_29.xml
project_1/project_1.runs/.jobs/vrs_config_3.xml
project_1/project_1.runs/.jobs/vrs_config_30.xml
project_1/project_1.runs/.jobs/vrs_config_31.xml
project_1/project_1.runs/.jobs/vrs_config_32.xml
project_1/project_1.runs/.jobs/vrs_config_33.xml
project_1/project_1.runs/.jobs/vrs_config_34.xml
project_1/project_1.runs/.jobs/vrs_config_35.xml
project_1/project_1.runs/.jobs/vrs_config_36.xml
project_1/project_1.runs/.jobs/vrs_config_37.xml
project_1/project_1.runs/.jobs/vrs_config_38.xml
project_1/project_1.runs/.jobs/vrs_config_39.xml
project_1/project_1.runs/.jobs/vrs_config_4.xml
project_1/project_1.runs/.jobs/vrs_config_40.xml
project_1/project_1.runs/.jobs/vrs_config_41.xml
project_1/project_1.runs/.jobs/vrs_config_42.xml
project_1/project_1.runs/.jobs/vrs_config_5.xml
project_1/project_1.runs/.jobs/vrs_config_6.xml
project_1/project_1.runs/.jobs/vrs_config_7.xml
project_1/project_1.runs/.jobs/vrs_config_8.xml
project_1/project_1.runs/.jobs/vrs_config_9.xml
project_1/project_1.runs/impl_1/.init_design.begin.rst
project_1/project_1.runs/impl_1/.init_design.end.rst
project_1/project_1.runs/impl_1/.opt_design.begin.rst
project_1/project_1.runs/impl_1/.opt_design.end.rst
project_1/project_1.runs/impl_1/.place_design.begin.rst
project_1/project_1.runs/impl_1/.place_design.end.rst
project_1/project_1.runs/impl_1/.route_design.begin.rst
project_1/project_1.runs/impl_1/.route_design.end.rst
project_1/project_1.runs/impl_1/.Vivado Implementation.queue.rst
project_1/project_1.runs/impl_1/.vivado.begin.rst
project_1/project_1.runs/impl_1/.vivado.end.rst
project_1/project_1.runs/impl_1/.write_bitstream.begin.rst
project_1/project_1.runs/impl_1/.write_bitstream.end.rst
project_1/project_1.runs/impl_1/gen_run.xml
project_1/project_1.runs/impl_1/htr.txt
project_1/project_1.runs/impl_1/init_design.pb
project_1/project_1.runs/impl_1/ISEWrap.js
project_1/project_1.runs/impl_1/ISEWrap.sh
project_1/project_1.runs/impl_1/mipsfpga_nexys4.bit
project_1/project_1.runs/impl_1/mipsfpga_nexys4.dcp
project_1/project_1.runs/impl_1/mipsfpga_nexys4.tcl
project_1/project_1.runs/impl_1/mipsfpga_nexys4.vdi
project_1/project_1.runs/impl_1/mipsfpga_nexys4_11200.backup.vdi
project_1/project_1.runs/impl_1/mipsfpga_nexys4_clock_utilization_placed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_control_sets_placed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_drc_routed.pb
project_1/project_1.runs/impl_1/mipsfpga_nexys4_drc_routed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_io_placed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_opt.dcp
project_1/project_1.runs/impl_1/mipsfpga_nexys4_placed.dcp
project_1/project_1.runs/impl_1/mipsfpga_nexys4_power_routed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_power_summary_routed.pb
project_1/project_1.runs/impl_1/mipsfpga_nexys4_routed.dcp
project_1/project_1.runs/impl_1/mipsfpga_nexys4_route_status.pb
project_1/project_1.runs/impl_1/mipsfpga_nexys4_route_status.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_timing_summary_routed.pb
project_1/project_1.runs/impl_1/mipsfpga_nexys4_timing_summary_routed.rpt
project_1/project_1.runs/impl_1/mipsfpga_nexys4_utilization_placed.pb
project_1/project_1.runs/impl_1/mipsfpga_nexys4_utilization_placed.rpt
project_1/project_1.runs/impl_1/opt_design.pb
project_1/project_1.runs/impl_1/place_design.pb
project_1/project_1.runs/impl_1/project.wdf
project_1/project_1.runs/impl_1/route_design.pb
project_1/project_1.runs/impl_1/rundef.js
project_1/project_1.runs/impl_1/runme.bat
project_1/project_1.runs/impl_1/runme.log
project_1/project_1.runs/impl_1/runme.sh
project_1/project_1.runs/impl_1/usage_statistics_webtalk.html
project_1/project_1.runs/impl_1/usage_statistics_webtalk.xml
project_1/project_1.runs/impl_1/vivado.jou
project_1/project_1.runs/impl_1/

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com