CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程

文件名称:基于FPGA实现蜂鸣器播放音乐的功能

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-07-05
  • 文件大小:
    1.37mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用芯片为EP2C8Q208C8N,使用普通蜂鸣器,由于频率不同可实现放歌功能,本

例设计的是《友谊地久天长》,使用Verilog语言编程,本例子有工程文件、仿

真、波形,经过测试可以使用。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : Buzzer music.rar 列表
M09_Buzzer music/FPGA开发板系列教程之中级篇09:蜂鸣器播放音乐.pdf
M09_Buzzer music/PWM_music/project/db/altsyncram_1q01.tdf
M09_Buzzer music/PWM_music/project/db/altsyncram_9u71.tdf
M09_Buzzer music/PWM_music/project/db/logic_util_heursitic.dat
M09_Buzzer music/PWM_music/project/db/prev_cmp_pwm_music_top.qmsg
M09_Buzzer music/PWM_music/project/db/pwm_music_top.(0).cnf.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.(0).cnf.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.(1).cnf.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.(1).cnf.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.amm.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.asm.qmsg
M09_Buzzer music/PWM_music/project/db/pwm_music_top.asm.rdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.asm_labs.ddb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cbx.xml
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.bpm
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.kpt
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.logdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp.rdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp0.ddb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp1.ddb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp2.ddb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.cmp_merge.kpt
M09_Buzzer music/PWM_music/project/db/pwm_music_top.db_info
M09_Buzzer music/PWM_music/project/db/pwm_music_top.fit.qmsg
M09_Buzzer music/PWM_music/project/db/pwm_music_top.hier_info
M09_Buzzer music/PWM_music/project/db/pwm_music_top.hif
M09_Buzzer music/PWM_music/project/db/pwm_music_top.idb.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.lpc.html
M09_Buzzer music/PWM_music/project/db/pwm_music_top.lpc.rdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.lpc.txt
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.bpm
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.kpt
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.logdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map.qmsg
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map_bb.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map_bb.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.map_bb.logdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.pre_map.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.pre_map.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.rom0_music_rom_a2c4b6fa.hdl.mif
M09_Buzzer music/PWM_music/project/db/pwm_music_top.rtlv.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.rtlv_sg.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.rtlv_sg_swap.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sgdiff.cdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sgdiff.hdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sld_design_entry.sci
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sld_design_entry_dsc.sci
M09_Buzzer music/PWM_music/project/db/pwm_music_top.smart_action.txt
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sta.qmsg
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sta.rdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.sta_cmp.8_slow.tdb
M09_Buzzer music/PWM_music/project/db/pwm_music_top.syn_hier_info
M09_Buzzer music/PWM_music/project/db/pwm_music_top.tis_db_list.ddb
M09_Buzzer music/PWM_music/project/greybox_tmp/cbx_args.txt
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.db_info
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.cdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.dfp
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.hdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.kpt
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.logdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.cmp.rcfdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.cdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.dpi
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.hbdb.cdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.hbdb.hb_info
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.hbdb.hdb
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_top.root_partition.map.hbdb.sig
M09_Buzzer music/PWM_music/project/incremental_db/compiled_partitions/pwm_music_

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com