CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:firfilter

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    1.57mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

实现一个FIR滤波器,基于直接型型算法

输入数据宽度:8位

输出数据宽度:16位

阶数:16阶

滤波器经转换后(右移16位)的特征参数为:

h[0]=h[15]=0000

h[1]=h[14]=0065

h[2]=h[13]=018F

h[3]=h[12]=035A

h[4]=h[11]=0579

h[5]=h[10]=078E

h[6]=h[9]=0935

h[7]=h[8]=0A1F


(系统自动生成,下载前可以参看下载内容)

下载文件列表

firfilter/fir_in.salt
firfilter/firfilter.qpf
firfilter/firfilter.qsf
firfilter/db/mult_r7q.tdf
firfilter/db/firfilter.db_info
firfilter/db/firfilter.signalprobe.cdb
firfilter/db/firfilter.sim.qmsg
firfilter/db/firfilter.(0).cnf.cdb
firfilter/db/firfilter.(0).cnf.hdb
firfilter/db/firfilter.cbx.xml
firfilter/db/firfilter.fit.qmsg
firfilter/db/prev_cmp_firfilter.map.qmsg
firfilter/db/firfilter.sim.hdb
firfilter/db/firfilter.asm.qmsg
firfilter/db/firfilter.tan.qmsg
firfilter/db/firfilter.map.qmsg
firfilter/db/firfilter.(28).cnf.cdb
firfilter/db/firfilter.(28).cnf.hdb
firfilter/db/firfilter.hif
firfilter/db/firfilter.(29).cnf.cdb
firfilter/db/firfilter.(29).cnf.hdb
firfilter/db/firfilter.hier_info
firfilter/db/firfilter.rtlv_sg_swap.cdb
firfilter/db/firfilter.pre_map.cdb
firfilter/db/firfilter.(30).cnf.cdb
firfilter/db/firfilter.map_bb.logdb
firfilter/db/firfilter.sgdiff.cdb
firfilter/db/firfilter.sgdiff.hdb
firfilter/db/firfilter.psp
firfilter/db/firfilter.dbp
firfilter/db/firfilter.pss
firfilter/db/firfilter.(1).cnf.cdb
firfilter/db/firfilter.(1).cnf.hdb
firfilter/db/firfilter.(2).cnf.cdb
firfilter/db/firfilter.(2).cnf.hdb
firfilter/db/firfilter.(3).cnf.cdb
firfilter/db/firfilter.(3).cnf.hdb
firfilter/db/add_sub_7dh.tdf
firfilter/db/firfilter.(4).cnf.cdb
firfilter/db/firfilter.(4).cnf.hdb
firfilter/db/firfilter.(5).cnf.cdb
firfilter/db/firfilter.(5).cnf.hdb
firfilter/db/firfilter.(6).cnf.cdb
firfilter/db/firfilter.(6).cnf.hdb
firfilter/db/add_sub_bdh.tdf
firfilter/db/firfilter.(7).cnf.cdb
firfilter/db/firfilter.(7).cnf.hdb
firfilter/db/firfilter.(8).cnf.cdb
firfilter/db/firfilter.(8).cnf.hdb
firfilter/db/firfilter.(9).cnf.cdb
firfilter/db/firfilter.(9).cnf.hdb
firfilter/db/firfilter.(10).cnf.cdb
firfilter/db/firfilter.(10).cnf.hdb
firfilter/db/firfilter.(11).cnf.cdb
firfilter/db/firfilter.(11).cnf.hdb
firfilter/db/firfilter.(12).cnf.cdb
firfilter/db/firfilter.(12).cnf.hdb
firfilter/db/add_sub_6dh.tdf
firfilter/db/firfilter.(13).cnf.cdb
firfilter/db/firfilter.(13).cnf.hdb
firfilter/db/firfilter.(14).cnf.cdb
firfilter/db/firfilter.(14).cnf.hdb
firfilter/db/firfilter.(15).cnf.cdb
firfilter/db/firfilter.(15).cnf.hdb
firfilter/db/add_sub_adh.tdf
firfilter/db/firfilter.(16).cnf.cdb
firfilter/db/firfilter.(16).cnf.hdb
firfilter/db/firfilter.(17).cnf.cdb
firfilter/db/firfilter.(17).cnf.hdb
firfilter/db/firfilter.(18).cnf.cdb
firfilter/db/firfilter.(18).cnf.hdb
firfilter/db/firfilter.(19).cnf.cdb
firfilter/db/firfilter.(19).cnf.hdb
firfilter/db/firfilter.(20).cnf.cdb
firfilter/db/firfilter.(20).cnf.hdb
firfilter/db/firfilter.(21).cnf.cdb
firfilter/db/firfilter.(21).cnf.hdb
firfilter/db/add_sub_4dh.tdf
firfilter/db/firfilter.(22).cnf.cdb
firfilter/db/firfilter.(22).cnf.hdb
firfilter/db/firfilter.(23).cnf.cdb
firfilter/db/firfilter.(23).cnf.hdb
firfilter/db/firfilter.(24).cnf.cdb
firfilter/db/firfilter.(24).cnf.hdb
firfilter/db/add_sub_8dh.tdf
firfilter/db/firfilter.(25).cnf.cdb
firfilter/db/firfilter.(25).cnf.hdb
firfilter/db/firfilter.(26).cnf.cdb
firfilter/db/firfilter.(26).cnf.hdb
firfilter/db/firfilter.(27).cnf.cdb
firfilter/db/firfilter.(27).cnf.hdb
firfilter/db/firfilter.cmp.logdb
firfilter/db/firfilter.sim.cvwf
firfilter/db/firfilter.rtlv.hdb
firfilter/db/firfilter.(30).cnf.hdb
firfilter/db/firfilter.syn_hier_info
firfilter/db/firfilter.pre_map.hdb
firfilter/db/firfilter.asm_labs.ddb
firfilter/db/firfilter.cmp.bpm
firfilter/db/firfilter.rtlv_sg.cdb
firfilter/db/firfilter.map.ecobp
firfilter/db/firfilter.sld_design_entry_dsc.sci
firfilter/db/firfilter.map_bb.cdb
firfilter/db/firfilter.map_bb.hdb
firfilter/db/firfilter.map.logdb
firfilter/db/firfilter.sim.rdb
firfilter/db/firfilter.map.cdb
firfilter/db/firfilter.map.hdb
firfilter/db/firfilter.map.bpm
firfilter/db/firfilter.cmp.ecobp
firfilter/db/firfilter.cmp_bb.logdb
firfilter/db/firfilter.eco.cdb
firfilter/db/prev_cmp_firfilter.sim.qmsg
firfilter/db/firfilter.sta.qmsg
firfilter/db/firfilter.cmp.tdb
firfilter/db/prev_cmp_firfilter.fit.qmsg
firfilter/db/prev_cmp_firfilter.asm.qmsg
firfilter/db/prev_cmp_firfilter.tan.qmsg
firfilter/db/firfilter.cmp_bb.rcf
firfilter/db/firfilter.cmp0.ddb
firfilter/db/firfilter.cmp.hdb
firfilter/db/firfilter.cmp_bb.hdb
firfilter/db/firfilter.cmp.rdb
firfilter/db/firfilter.cmp_bb.cdb
firfilter/db/firfilter.cmp.cdb
firfilter/db/firfilter.sta.rdb
firfilter/db/prev_cmp_firfilter.sta.qmsg
firfilter/db/firfilter.sld_design_entry.sci
firfilter/db/firfilter.eds_overflow
firfilter/db/wed.wsf
firfilter/firfilter.map.summary
firfilter/firfilter.map.smsg
firfilter/firfilter.pin
firfilter/firfilter.fit.smsg
firfilter/firfilter.fit.summary
firfilter/firfilter.sof
firfilter/firfilter.pof
firfilter/firfilter.tan.summary
firfilter/firfilter.done
firfilter/firfilter.vwf
firfilter/fir_out.txt
firfilter/tb_firfilter.v.bak
firfilter/220model.v
firfilter/mult.v
firfilter/tb_firfilter.v
firfilter/work/_info
firfilter/work/firfilter/_primary.vhd
firfilter/work/firfilter/verilog.asm
firfilter/work/firfilter/_primary.dat
firfilter/work/tb_firfilter/_primary.vhd
firfilter/work/tb_firfilter/verilog.asm
firfilter/work/tb_firfilter/_primary.dat
firfilter/work/mult/_primary.vhd
fir

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com