CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:VGA

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-05-23
  • 文件大小:
    488kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

VGA接口,提供简单的行场扫描,和一个简单的色块例子-VGA interface, providing simple line scan, and a simple example of color blocks
(系统自动生成,下载前可以参看下载内容)

下载文件列表



VGA\VGA.cache\wt\java_command_handlers.wdf
...\.........\..\project.wpc
...\.........\..\synthesis.wdf
...\.........\..\synthesis_details.wdf
...\.........\..\webtalk_pa.xml
...\....hw\hw_1\hw.xml
...\......\VGA.lpr
...\....runs\.jobs\vrs_config_1.xml
...\........\.....\vrs_config_10.xml
...\........\.....\vrs_config_11.xml
...\........\.....\vrs_config_12.xml
...\........\.....\vrs_config_13.xml
...\........\.....\vrs_config_14.xml
...\........\.....\vrs_config_15.xml
...\........\.....\vrs_config_16.xml
...\........\.....\vrs_config_17.xml
...\........\.....\vrs_config_2.xml
...\........\.....\vrs_config_3.xml
...\........\.....\vrs_config_4.xml
...\........\.....\vrs_config_5.xml
...\........\.....\vrs_config_6.xml
...\........\.....\vrs_config_7.xml
...\........\.....\vrs_config_8.xml
...\........\.....\vrs_config_9.xml
...\........\impl_1\.init_design.begin.rst
...\........\......\.init_design.end.rst
...\........\......\.opt_design.begin.rst
...\........\......\.opt_design.end.rst
...\........\......\.place_design.begin.rst
...\........\......\.place_design.end.rst
...\........\......\.route_design.begin.rst
...\........\......\.route_design.end.rst
...\........\......\.vivado.begin.rst
...\........\......\.vivado.end.rst
...\........\......\.Vivado_Implementation.queue.rst
...\........\......\.write_bitstream.begin.rst
...\........\......\.write_bitstream.end.rst
...\........\......\gen_run.xml
...\........\......\htr.txt
...\........\......\init_design.pb
...\........\......\ISEWrap.js
...\........\......\ISEWrap.sh
...\........\......\opt_design.pb
...\........\......\place_design.pb
...\........\......\project.wdf
...\........\......\route_design.pb
...\........\......\rundef.js
...\........\......\runme.bat
...\........\......\runme.log
...\........\......\runme.sh
...\........\......\usage_statistics_webtalk.html
...\........\......\usage_statistics_webtalk.xml
...\........\......\vga_top.bit
...\........\......\vga_top.tcl
...\........\......\vga_top.vdi
...\........\......\vga_top_2152.backup.vdi
...\........\......\vga_top_316.backup.vdi
...\........\......\vga_top_3560.backup.vdi
...\........\......\vga_top_4048.backup.vdi
...\........\......\vga_top_5956.backup.vdi
...\........\......\vga_top_clock_utilization_routed.rpt
...\........\......\vga_top_control_sets_placed.rpt
...\........\......\vga_top_drc_opted.rpt
...\........\......\vga_top_drc_routed.pb
...\........\......\vga_top_drc_routed.rpt
...\........\......\vga_top_io_placed.rpt
...\........\......\vga_top_opt.dcp
...\........\......\vga_top_placed.dcp
...\........\......\vga_top_power_routed.rpt
...\........\......\vga_top_power_summary_routed.pb
...\........\......\vga_top_routed.dcp
...\........\......\vga_top_route_status.pb
...\........\......\vga_top_route_status.rpt
...\........\......\vga_top_timing_summary_routed.rpt
...\........\......\vga_top_timing_summary_routed.rpx
...\........\......\vga_top_utilization_placed.pb
...\........\......\vga_top_utilization_placed.rpt
...\........\......\vivado.jou
...\........\......\vivado.pb
...\........\......\vivado_2152.backup.jou
...\........\......\vivado_316.backup.jou
...\........\......\vivado_3560.backup.jou
...\........\......\vivado_4048.backup.jou
...\........\......\vivado_5956.backup.jou
...\........\......\write_bitstream.pb
...\........\synth_1\.vivado.begin.rst
...\........\.......\.vivado.end.rst
...\........\.......\.Vivado_Synthesis.queue.rst
...\........\.......\.Xil\vga_top_propImpl.xdc
...\........\.......\gen_run.xml
...\........\.......\htr.txt
...\........\.......\ISEWrap.js
...\........\.......\ISEWrap.sh
...\........\.......\project.wdf
...\........\.......\rundef.js
...\........\.......\runme.bat
...\........\.......\runme.log
...\........\.......\runme.sh
...\........\.......\vga_top.dcp
...\........\.......\vga_top.tcl

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com