CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:buzzer_test

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2017-07-18
  • 文件大小:
    152kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

控制蜂鸣器让它有规律的发出声音,使得蜂鸣器发出 SOS 紧急救难信号(Control buzzer so that it regularly sounds, making the buzzer SOS emergency rescue signal)
相关搜索: 蜂鸣器 SOS

(系统自动生成,下载前可以参看下载内容)

下载文件列表

buzzer_test
buzzer_test\buzzer_test.jdi
buzzer_test\buzzer_test.qpf
buzzer_test\buzzer_test.qsf
buzzer_test\buzzer_test.qws
buzzer_test\buzzer_test_assignment_defaults.qdf
buzzer_test\db
buzzer_test\db\buzzer_test.db_info
buzzer_test\db\buzzer_test.ipinfo
buzzer_test\db\buzzer_test.sld_design_entry.sci
buzzer_test\db\logic_util_heursitic.dat
buzzer_test\db\prev_cmp_buzzer_test.qmsg
buzzer_test\incremental_db
buzzer_test\incremental_db\README
buzzer_test\incremental_db\compiled_partitions
buzzer_test\incremental_db\compiled_partitions\buzzer_test.db_info
buzzer_test\incremental_db\compiled_partitions\buzzer_test.root_partition.cmp.dfp
buzzer_test\incremental_db\compiled_partitions\buzzer_test.root_partition.cmp.kpt
buzzer_test\incremental_db\compiled_partitions\buzzer_test.root_partition.cmp.logdb
buzzer_test\incremental_db\compiled_partitions\buzzer_test.root_partition.map.dpi
buzzer_test\incremental_db\compiled_partitions\buzzer_test.root_partition.map.kpt
buzzer_test\output_files
buzzer_test\output_files\Chain1.cdf
buzzer_test\output_files\buzzer_test.asm.rpt
buzzer_test\output_files\buzzer_test.done
buzzer_test\output_files\buzzer_test.eda.rpt
buzzer_test\output_files\buzzer_test.fit.rpt
buzzer_test\output_files\buzzer_test.fit.smsg
buzzer_test\output_files\buzzer_test.fit.summary
buzzer_test\output_files\buzzer_test.flow.rpt
buzzer_test\output_files\buzzer_test.jdi
buzzer_test\output_files\buzzer_test.map.rpt
buzzer_test\output_files\buzzer_test.map.summary
buzzer_test\output_files\buzzer_test.pin
buzzer_test\output_files\buzzer_test.sof
buzzer_test\output_files\buzzer_test.sta.rpt
buzzer_test\output_files\buzzer_test.sta.summary
buzzer_test\rtl
buzzer_test\rtl\control_module.v
buzzer_test\rtl\control_module.v.bak
buzzer_test\rtl\output_files
buzzer_test\rtl\output_files\Chain2.cdf
buzzer_test\rtl\sos_generator_module.v
buzzer_test\rtl\sos_module.v
buzzer_test\rtl\sos_module.v.bak
buzzer_test\simulation
buzzer_test\simulation\modelsim
buzzer_test\simulation\modelsim\buzzer_test.sft
buzzer_test\simulation\modelsim\buzzer_test.vo
buzzer_test\simulation\modelsim\buzzer_test_8_1200mv_0c_slow.vo
buzzer_test\simulation\modelsim\buzzer_test_8_1200mv_0c_v_slow.sdo
buzzer_test\simulation\modelsim\buzzer_test_8_1200mv_85c_slow.vo
buzzer_test\simulation\modelsim\buzzer_test_8_1200mv_85c_v_slow.sdo
buzzer_test\simulation\modelsim\buzzer_test_min_1200mv_0c_fast.vo
buzzer_test\simulation\modelsim\buzzer_test_min_1200mv_0c_v_fast.sdo
buzzer_test\simulation\modelsim\buzzer_test_modelsim.xrf
buzzer_test\simulation\modelsim\buzzer_test_v.sdo

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com