CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:Example-b8-1

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    3.74mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用ModelSim对Altera设计进行功能仿真

对于没有使用到Altera的MegaWizard或LPM的设计而言,功能仿真比较简单,读者只需依据8.2.5小节描述的步骤依次执行即可,对于使用了MegaWizard或LPM的设计,则必需在仿真时指定相关的Altera库
(系统自动生成,下载前可以参看下载内容)

下载文件列表

示例说明.doc
Altera_lib_files/220model.txt
Altera_lib_files/220model.v
Altera_lib_files/220model.vhd
Altera_lib_files/220model_87.vhd
Altera_lib_files/220pack.vhd
Altera_lib_files/altera_mf.txt
Altera_lib_files/altera_mf.v
Altera_lib_files/altera_mf.vhd
Altera_lib_files/altera_mf_87.vhd
Altera_lib_files/altera_mf_components.vhd
Altera_lib_files/stratix_atoms.v
Altera_lib_files/stratix_atoms.vhd
Altera_lib_files/stratix_components.vhd
func_sim/dpram8x32.v
func_sim/func_sim.cr.mti
func_sim/func_sim.mpf
func_sim/func_sim_wave.wlf
func_sim/pllx2.v
func_sim/pll_ram.v
func_sim/pll_ram_tb.v
func_sim/transcript
func_sim/vsim.wlf
func_sim/wave.bmp
func_sim/wave.do
func_sim/work/dpram8x32/verilog.asm
func_sim/work/dpram8x32/_primary.dat
func_sim/work/dpram8x32/_primary.vhd
func_sim/work/pllx2/verilog.asm
func_sim/work/pllx2/_primary.dat
func_sim/work/pllx2/_primary.vhd
func_sim/work/pll_ram/verilog.asm
func_sim/work/pll_ram/_primary.dat
func_sim/work/pll_ram/_primary.vhd
func_sim/work/pll_ram_tb/verilog.asm
func_sim/work/pll_ram_tb/_primary.dat
func_sim/work/pll_ram_tb/_primary.vhd
func_sim/work/_info
pll_ram/cmp_state.ini
pll_ram/db/altsyncram_7bc1.tdf
pll_ram/db/pll_ram(0).cnf.cdb
pll_ram/db/pll_ram(0).cnf.hdb
pll_ram/db/pll_ram(1).cnf.cdb
pll_ram/db/pll_ram(1).cnf.hdb
pll_ram/db/pll_ram(2).cnf.cdb
pll_ram/db/pll_ram(2).cnf.hdb
pll_ram/db/pll_ram(3).cnf.cdb
pll_ram/db/pll_ram(3).cnf.hdb
pll_ram/db/pll_ram(4).cnf.cdb
pll_ram/db/pll_ram(4).cnf.hdb
pll_ram/db/pll_ram(5).cnf.cdb
pll_ram/db/pll_ram(5).cnf.hdb
pll_ram/db/pll_ram(6).cnf.cdb
pll_ram/db/pll_ram(6).cnf.hdb
pll_ram/db/pll_ram(7).cnf.cdb
pll_ram/db/pll_ram(7).cnf.hdb
pll_ram/db/pll_ram.asm.qmsg
pll_ram/db/pll_ram.cmp.cdb
pll_ram/db/pll_ram.cmp.ddb
pll_ram/db/pll_ram.cmp.hdb
pll_ram/db/pll_ram.cmp.rdb
pll_ram/db/pll_ram.cmp.tdb
pll_ram/db/pll_ram.csf.qmsg
pll_ram/db/pll_ram.db_info
pll_ram/db/pll_ram.eda.qmsg
pll_ram/db/pll_ram.fit.qmsg
pll_ram/db/pll_ram.hif
pll_ram/db/pll_ram.icc
pll_ram/db/pll_ram.map.cdb
pll_ram/db/pll_ram.map.hdb
pll_ram/db/pll_ram.map.qmsg
pll_ram/db/pll_ram.pll_ram.sld_design_entry.sci
pll_ram/db/pll_ram.pre_map.hdb
pll_ram/db/pll_ram.project.hdb
pll_ram/db/pll_ram.rtlv.hdb
pll_ram/db/pll_ram.rtlv_sg.cdb
pll_ram/db/pll_ram.rtlv_sg_swap.cdb
pll_ram/db/pll_ram.sgdiff.cdb
pll_ram/db/pll_ram.sgdiff.hdb
pll_ram/db/pll_ram.signalprobe.cdb
pll_ram/db/pll_ram.tan.qmsg
pll_ram/db/pll_ram_cmp.qrpt
pll_ram/db/pll_ram_hier_info
pll_ram/db/pll_ram_syn_hier_info
pll_ram/dpram8x32.v
pll_ram/pllx2.v
pll_ram/pll_ram.asm.rpt
pll_ram/pll_ram.done
pll_ram/pll_ram.eda.rpt
pll_ram/pll_ram.fit.eqn
pll_ram/pll_ram.fit.rpt
pll_ram/pll_ram.flow.rpt
pll_ram/pll_ram.map.eqn
pll_ram/pll_ram.map.rpt
pll_ram/pll_ram.pin
pll_ram/pll_ram.pof
pll_ram/pll_ram.qpf
pll_ram/pll_ram.qsf
pll_ram/pll_ram.qws
pll_ram/pll_ram.sof
pll_ram/pll_ram.tan.rpt
pll_ram/pll_ram.tan.summary
pll_ram/pll_ram.v
pll_ram/simulation/modelsim/pll_ram.vo
pll_ram/simulation/modelsim/pll_ram_modelsim.xrf
pll_ram/simulation/modelsim/pll_ram_v.sdo
source/dpram8x32.v
source/dpram8x32_bb.v
source/dpram8x32_wave0.jpg
source/dpram8x32_wave1.jpg
source/dpram8x32_wave2.jpg
source/dpram8x32_wave3.jpg
source/dpram8x32_waveforms.html
source/pllx2.v
source/pllx2_bb.v
source/pll_ram.v
source/pll_ram_tb.v
source/post-simulation/modelsim/pll_ram.vo
source/post-simulation/modelsim/pll_ram_modelsim.xrf
source/post-simulation/modelsim/pll_ram_v.sdo
timing_sim/pll_ram.vo
timing_sim/pll_ram_modelsim.xrf
timing_sim/pll_ram_tb.v
timing_sim/pll_ram_v.sdo
timing_sim/timing_sim.cr.mti
timing_sim/timing_sim.mpf
timing_sim/transcript
timing_sim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.asm
timing_sim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
timing_sim/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
timing_sim/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.asm
timing_sim/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
timing_sim/work/@l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
timing_sim/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/verilog.asm
timing_sim/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dat
timing_sim/work/@l@p@m_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.vhd
timing_sim/work/@m@f_pll_reg/verilog.asm
timing_sim/work/@m@f_pll_reg/_primary.dat
timing_sim/work/@m@f_pll_reg/_primary.vhd
timing_sim/work/@m@f_ram7x20_syn/verilog.asm
timing_sim/work/@m@f_ram7x20_syn/_primary.dat
timing_sim/work/@m@f_ram7x20_syn/_primary.vhd
timing_sim/work/@m@f_stratixii_pll/verilog.asm
timing_sim/work/@m@f_stratixii_pll/_primary.dat
timing_sim/work/@m@f_stratixii_pll/_primary.vhd
timing_sim/work/@m@f_stratix_pll/verilog.asm
timing_sim/work/@m@f_stratix_pll/_primary.dat
timing_sim/work/@m@f_stratix_pll/_primary.vhd
timing_sim/work/@p@r@i@m_@d@f@f@e/verilog.asm
timing_sim/work/@p@r@i@m_@d@f@f@e/_primary.dat
timing_sim/work/@p@r@i@m_@d@f@f@e/_primary.vhd
timing_sim/work/alt3pram/verilog.asm
timing_sim/work/alt3pram/_primary.dat
timing_sim/work/alt3pram/_primary.vhd
timing_sim/work/altaccumulate/verilog.asm
timing_sim/work/altaccumulate/_primary.dat
timing_sim/work/altaccumulate/_primary.vhd
timing_sim/

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com