CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:OC8051

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    3.36mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

Verilog版的C51核(OC8051)
(系统自动生成,下载前可以参看下载内容)

下载文件列表

OC8051/.nclaunch.dd
OC8051/asm/cast.c
OC8051/asm/counter_test.asm
OC8051/asm/DIV16U.asm
OC8051/asm/divmul.c
OC8051/asm/fib.c
OC8051/asm/gcd.c
OC8051/asm/hex/cast.hex
OC8051/asm/hex
OC8051/asm/int2bin.c
OC8051/asm/interrupt_test.asm
OC8051/asm/lcall.asm
OC8051/asm/negcnt.c
OC8051/asm/r_bank.asm
OC8051/asm/serial.asm
OC8051/asm/serial_test.asm
OC8051/asm/sort.c
OC8051/asm/sqroot.c
OC8051/asm/test.asm
OC8051/asm/testall.asm
OC8051/asm/testall.c
OC8051/asm/timer.asm
OC8051/asm/timer2_test.asm
OC8051/asm/timer_test.asm
OC8051/asm/v/cast.v
OC8051/asm/v/counter_test.v
OC8051/asm/v/counter_test.v.bak
OC8051/asm/v/div16u.v
OC8051/asm/v/divmul.v
OC8051/asm/v/fib.v
OC8051/asm/v/gcd.v
OC8051/asm/v/int2bin.v
OC8051/asm/v/interrupt_test.v
OC8051/asm/v/lcall.v
OC8051/asm/v/negcnt.v
OC8051/asm/v/r_bank.v
OC8051/asm/v/serial_test.v
OC8051/asm/v/sort.v
OC8051/asm/v/sqroot.v
OC8051/asm/v/testall.v
OC8051/asm/v/timer_test.v
OC8051/asm/v/xram.v
OC8051/asm/v/xram_m.v
OC8051/asm/v
OC8051/asm/xram.c
OC8051/asm/xram_m.c
OC8051/asm/xrom_test.asm
OC8051/asm
OC8051/bench/verilog/oc8051_fpga_tb.v
OC8051/bench/verilog/oc8051_serial.v
OC8051/bench/verilog/oc8051_tb.v
OC8051/bench/verilog/oc8051_timescale.v
OC8051/bench/verilog/oc8051_uart_test.v
OC8051/bench/verilog/oc8051_xram.v
OC8051/bench/verilog/oc8051_xrom.v
OC8051/bench/verilog
OC8051/bench
OC8051/doc/pdf/oc8051_spec.pdf
OC8051/doc/pdf
OC8051/doc/scr/oc8051_design.doc
OC8051/doc/scr
OC8051/doc
OC8051/interface.jpg
OC8051/overview.txt
OC8051/rtl/verilog/attic/oc8051_alu_src1_sel.v
OC8051/rtl/verilog/attic/oc8051_alu_src2_sel.v
OC8051/rtl/verilog/attic/oc8051_alu_src3_sel.v
OC8051/rtl/verilog/attic/oc8051_ext_addr_sel.v
OC8051/rtl/verilog/attic/oc8051_fpga_top.v
OC8051/rtl/verilog/attic/oc8051_immediate_sel.v
OC8051/rtl/verilog/attic/oc8051_op_select.v
OC8051/rtl/verilog/attic/oc8051_pc.v
OC8051/rtl/verilog/attic/oc8051_ram.v
OC8051/rtl/verilog/attic/oc8051_ram_adr_sel.v
OC8051/rtl/verilog/attic/oc8051_ram_rd_sel.v
OC8051/rtl/verilog/attic/oc8051_ram_sel.v
OC8051/rtl/verilog/attic/oc8051_ram_wr_sel.v
OC8051/rtl/verilog/attic/oc8051_reg1.v
OC8051/rtl/verilog/attic/oc8051_reg2.v
OC8051/rtl/verilog/attic/oc8051_reg3.v
OC8051/rtl/verilog/attic/oc8051_reg4.v
OC8051/rtl/verilog/attic/oc8051_reg8.v
OC8051/rtl/verilog/attic/oc8051_rom_addr_sel.v
OC8051/rtl/verilog/attic/oc8051_tb.v
OC8051/rtl/verilog/attic
OC8051/rtl/verilog/oc8051_acc.v
OC8051/rtl/verilog/oc8051_alu.v
OC8051/rtl/verilog/oc8051_alu_src_sel.v
OC8051/rtl/verilog/oc8051_alu_test.v
OC8051/rtl/verilog/oc8051_b_register.v
OC8051/rtl/verilog/oc8051_cache_ram.v
OC8051/rtl/verilog/oc8051_comp.v
OC8051/rtl/verilog/oc8051_cy_select.v
OC8051/rtl/verilog/oc8051_decoder.v
OC8051/rtl/verilog/oc8051_defines.v
OC8051/rtl/verilog/oc8051_divide.v
OC8051/rtl/verilog/oc8051_dptr.v
OC8051/rtl/verilog/oc8051_icache.v
OC8051/rtl/verilog/oc8051_indi_addr.v
OC8051/rtl/verilog/oc8051_int.v
OC8051/rtl/verilog/oc8051_memory_interface.v
OC8051/rtl/verilog/oc8051_multiply.v
OC8051/rtl/verilog/oc8051_ports.v
OC8051/rtl/verilog/oc8051_psw.v
OC8051/rtl/verilog/oc8051_ram_256x8_two_bist.v
OC8051/rtl/verilog/oc8051_ram_64x32_dual_bist.v
OC8051/rtl/verilog/oc8051_ram_top.v
OC8051/rtl/verilog/oc8051_rom.v
OC8051/rtl/verilog/oc8051_sfr.v
OC8051/rtl/verilog/oc8051_sp.v
OC8051/rtl/verilog/oc8051_tc.v
OC8051/rtl/verilog/oc8051_tc2.v
OC8051/rtl/verilog/oc8051_timescale.v
OC8051/rtl/verilog/oc8051_top.v
OC8051/rtl/verilog/oc8051_uart.v
OC8051/rtl/verilog/oc8051_wb_iinterface.v
OC8051/rtl/verilog/read.me
OC8051/rtl/verilog/ue_chinese.gip
OC8051/rtl/verilog
OC8051/rtl
OC8051/sim/rtl_sim/bin/INCA_libs/cds.lib
OC8051/sim/rtl_sim/bin/INCA_libs/hdl.var
OC8051/sim/rtl_sim/bin/INCA_libs/worklib/inca.linux.138.pak
OC8051/sim/rtl_sim/bin/INCA_libs/worklib
OC8051/sim/rtl_sim/bin/INCA_libs
OC8051/sim/rtl_sim/bin
OC8051/sim/rtl_sim/log/ncelab.log
OC8051/sim/rtl_sim/log/ncsim.log
OC8051/sim/rtl_sim/log/ncvlog.log
OC8051/sim/rtl_sim/log
OC8051/sim/rtl_sim/oc8051_ea.in
OC8051/sim/rtl_sim/oc8051_eai.in
OC8051/sim/rtl_sim/oc8051_eax.in
OC8051/sim/rtl_sim/out/cast.out
OC8051/sim/rtl_sim/out/counter_test.out
OC8051/sim/rtl_sim/out/div16u.out
OC8051/sim/rtl_sim/out/divmul.out
OC8051/sim/rtl_sim/out/fib.out
OC8051/sim/rtl_sim/out/gcd.out
OC8051/sim/rtl_sim/out/int2bin.out
OC8051/sim/rtl_sim/out/interrupt_test.out
OC8051/sim/rtl_sim/out/lcall.out
OC8051/sim/rtl_sim/out/ncelab.out
OC8051/sim/rtl_sim/out/ncprep.out
OC8051/sim/rtl_sim/out/ncvlog.out
OC8051/sim/rtl_sim/out/negcnt.out
OC8051/sim/rtl_sim/out/r_bank.out
OC8051/sim/rtl_sim/out/serial_test.out
OC8051/sim/rtl_sim/out/sort.out
OC8051/sim/rtl_sim/out/sqroot.out
OC8051/sim/rtl_sim/out/testall.out
OC8051/sim/rtl_sim/out/timer.out
OC8051/sim/rtl_sim/out/timer_test.out
OC8051/sim/rtl_sim/out/xram_m.out
OC8051/sim/rtl_sim/out/xrom_m.out
OC8051/sim/rtl_sim/out
OC8051/sim/rtl_sim/run/internal.do
OC8051/sim/rtl_sim/run/make.8;content-type=text%2Fplain
OC8051/sim/rtl_sim/run/make_fpga.1;content-type=text%2Fplain
OC8051/sim/rtl_sim/run/make_verilog.7;content-type=text%2Fplain
OC8051/sim/rtl_sim/run/oc8051_defines.v
OC8051/sim/rtl_sim/run/oc8051_timescale.v
OC805

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com