CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:sdram_control.RAR

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-10-27
  • 文件大小:
    3.52mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

基于XILINX FPGA的SDRAM 控制器代码。VERILOG HDL代码编写-SDRAM CONTROLER
(系统自动生成,下载前可以参看下载内容)

下载文件列表

sdram_control/src/Command.v
sdram_control/src/control_interface.v
sdram_control/src/datacnl.v.bak
sdram_control/src/Params.v
sdram_control/src/sdram_test_tb.v.bak
sdram_control/src/sdr_data_path.v
sdram_control/src/sdr_sdram.v
sdram_control/src
sdram_control/sim/altera_mf.v
sdram_control/sim/mt48lc2m32b2.v
sdram_control/sim/Params.v
sdram_control/sim/sdram_test.wlf
sdram_control/sim/sdram_test_tb.v
sdram_control/sim/sdram_test_tb.v.bak
sdram_control/sim/work/_info
sdram_control/sim/work/stx_scale_cntr/verilog.asm
sdram_control/sim/work/stx_scale_cntr/_primary.dat
sdram_control/sim/work/stx_scale_cntr/_primary.vhd
sdram_control/sim/work/stx_scale_cntr
sdram_control/sim/work/stx_n_cntr/verilog.asm
sdram_control/sim/work/stx_n_cntr/_primary.dat
sdram_control/sim/work/stx_n_cntr/_primary.vhd
sdram_control/sim/work/stx_n_cntr
sdram_control/sim/work/stx_m_cntr/verilog.asm
sdram_control/sim/work/stx_m_cntr/_primary.dat
sdram_control/sim/work/stx_m_cntr/_primary.vhd
sdram_control/sim/work/stx_m_cntr
sdram_control/sim/work/stratix_lvds_rx/verilog.asm
sdram_control/sim/work/stratix_lvds_rx/_primary.dat
sdram_control/sim/work/stratix_lvds_rx/_primary.vhd
sdram_control/sim/work/stratix_lvds_rx
sdram_control/sim/work/stratixii_tx_outclk/verilog.asm
sdram_control/sim/work/stratixii_tx_outclk/_primary.dat
sdram_control/sim/work/stratixii_tx_outclk/_primary.vhd
sdram_control/sim/work/stratixii_tx_outclk
sdram_control/sim/work/stratixii_lvds_rx/verilog.asm
sdram_control/sim/work/stratixii_lvds_rx/_primary.dat
sdram_control/sim/work/stratixii_lvds_rx/_primary.vhd
sdram_control/sim/work/stratixii_lvds_rx
sdram_control/sim/work/stratixgx_dpa_lvds_rx/verilog.asm
sdram_control/sim/work/stratixgx_dpa_lvds_rx/_primary.dat
sdram_control/sim/work/stratixgx_dpa_lvds_rx/_primary.vhd
sdram_control/sim/work/stratixgx_dpa_lvds_rx
sdram_control/sim/work/sdr_sdram/verilog.asm
sdram_control/sim/work/sdr_sdram/_primary.dat
sdram_control/sim/work/sdr_sdram/_primary.vhd
sdram_control/sim/work/sdr_sdram
sdram_control/sim/work/sdr_data_path/verilog.asm
sdram_control/sim/work/sdr_data_path/_primary.dat
sdram_control/sim/work/sdr_data_path/_primary.vhd
sdram_control/sim/work/sdr_data_path
sdram_control/sim/work/sdram_test_tb/verilog.asm
sdram_control/sim/work/sdram_test_tb/_primary.dat
sdram_control/sim/work/sdram_test_tb/_primary.vhd
sdram_control/sim/work/sdram_test_tb
sdram_control/sim/work/scfifo/verilog.asm
sdram_control/sim/work/scfifo/_primary.dat
sdram_control/sim/work/scfifo/_primary.vhd
sdram_control/sim/work/scfifo
sdram_control/sim/work/parallel_add/verilog.asm
sdram_control/sim/work/parallel_add/_primary.dat
sdram_control/sim/work/parallel_add/_primary.vhd
sdram_control/sim/work/parallel_add
sdram_control/sim/work/mt48lc2m32b2/verilog.asm
sdram_control/sim/work/mt48lc2m32b2/_primary.dat
sdram_control/sim/work/mt48lc2m32b2/_primary.vhd
sdram_control/sim/work/mt48lc2m32b2
sdram_control/sim/work/lcell/verilog.asm
sdram_control/sim/work/lcell/_primary.dat
sdram_control/sim/work/lcell/_primary.vhd
sdram_control/sim/work/lcell
sdram_control/sim/work/hssi_tx/verilog.asm
sdram_control/sim/work/hssi_tx/_primary.dat
sdram_control/sim/work/hssi_tx/_primary.vhd
sdram_control/sim/work/hssi_tx
sdram_control/sim/work/hssi_rx/verilog.asm
sdram_control/sim/work/hssi_rx/_primary.dat
sdram_control/sim/work/hssi_rx/_primary.vhd
sdram_control/sim/work/hssi_rx
sdram_control/sim/work/hssi_pll/verilog.asm
sdram_control/sim/work/hssi_pll/_primary.dat
sdram_control/sim/work/hssi_pll/_primary.vhd
sdram_control/sim/work/hssi_pll
sdram_control/sim/work/hssi_fifo/verilog.asm
sdram_control/sim/work/hssi_fifo/_primary.dat
sdram_control/sim/work/hssi_fifo/_primary.vhd
sdram_control/sim/work/hssi_fifo
sdram_control/sim/work/global/verilog.asm
sdram_control/sim/work/global/_primary.dat
sdram_control/sim/work/global/_primary.vhd
sdram_control/sim/work/global
sdram_control/sim/work/exp/verilog.asm
sdram_control/sim/work/exp/_primary.dat
sdram_control/sim/work/exp/_primary.vhd
sdram_control/sim/work/exp
sdram_control/sim/work/dffp/verilog.asm
sdram_control/sim/work/dffp/_primary.dat
sdram_control/sim/work/dffp/_primary.vhd
sdram_control/sim/work/dffp
sdram_control/sim/work/dcfifo_sync/verilog.asm
sdram_control/sim/work/dcfifo_sync/_primary.dat
sdram_control/sim/work/dcfifo_sync/_primary.vhd
sdram_control/sim/work/dcfifo_sync
sdram_control/sim/work/dcfifo_fefifo/verilog.asm
sdram_control/sim/work/dcfifo_fefifo/_primary.dat
sdram_control/sim/work/dcfifo_fefifo/_primary.vhd
sdram_control/sim/work/dcfifo_fefifo
sdram_control/sim/work/dcfifo_dffpipe/verilog.asm
sdram_control/sim/work/dcfifo_dffpipe/_primary.dat
sdram_control/sim/work/dcfifo_dffpipe/_primary.vhd
sdram_control/sim/work/dcfifo_dffpipe
sdram_control/sim/work/dcfifo_async/verilog.asm
sdram_control/sim/work/dcfifo_async/_primary.dat
sdram_control/sim/work/dcfifo_async/_primary.vhd
sdram_control/sim/work/dcfifo_async
sdram_control/sim/work/dcfifo/verilog.asm
sdram_control/sim/work/dcfifo/_primary.dat
sdram_control/sim/work/dcfifo/_primary.vhd
sdram_control/sim/work/dcfifo
sdram_control/sim/work/control_interface/verilog.asm
sdram_cont

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com