CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:123654vhaing

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-10-31
  • 文件大小:
    226.46kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

八音自动播放电子琴设计 vhdl源码,文件内有具体注释

[VHDL-XILINX-EXAMPLE26.rar] - [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9-

-Octave electronic keyboard play automatically design vhdl source, document specific comments [VHDL-XILINX-EXAMPLE26.rar]- [VHDL design of 26 cases of classic]- in the xilinx chip debugging through- [01- 1 adder ] [02- 2 S 1 MUX] [03- 8-bit hardware adder] [04- 7-segment digital display decoder] [05- 8 bit string into and out of register] [6--8 bit string into a register] [7- internal three-state bus] [8- with clear and clock enable synchronous 4-bit adder counter] [9-
(系统自动生成,下载前可以参看下载内容)

下载文件列表

B5子琴说明书(字体按毕设要求设置)[1].doc.doc

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com