CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程

文件名称:test_pll

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-12
  • 文件大小:
    2.17mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

使用modelsim se6.5d仿真altpll锁相环

完整工程,verilog代码,因为没找到选的是vhdl-simulation pll with modelsim se6.5d
相关搜索: altpll modelsim

(系统自动生成,下载前可以参看下载内容)

下载文件列表

test_pll/project/altera_mf.v
test_pll/project/altpll0.v
test_pll/project/altpll0_test.v
test_pll/project/pll.cr.mti
test_pll/project/pll.mpf
test_pll/project/vsim.wlf
test_pll/project/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.asm
test_pll/project/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/verilog.rw
test_pll/project/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dat
test_pll/project/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.dbs
test_pll/project/work/@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s/_primary.vhd
test_pll/project/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dat
test_pll/project/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.dbs
test_pll/project/work/@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n/_primary.vhd
test_pll/project/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dat
test_pll/project/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.dbs
test_pll/project/work/@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n/_primary.vhd
test_pll/project/work/@m@f_cycloneiiigl_m_cntr/verilog.asm
test_pll/project/work/@m@f_cycloneiiigl_m_cntr/verilog.rw
test_pll/project/work/@m@f_cycloneiiigl_m_cntr/_primary.dat
test_pll/project/work/@m@f_cycloneiiigl_m_cntr/_primary.dbs
test_pll/project/work/@m@f_cycloneiiigl_m_cntr/_primary.vhd
test_pll/project/work/@m@f_cycloneiiigl_n_cntr/verilog.asm
test_pll/project/work/@m@f_cycloneiiigl_n_cntr/verilog.rw
test_pll/project/work/@m@f_cycloneiiigl_n_cntr/_primary.dat
test_pll/project/work/@m@f_cycloneiiigl_n_cntr/_primary.dbs
test_pll/project/work/@m@f_cycloneiiigl_n_cntr/_primary.vhd
test_pll/project/work/@m@f_cycloneiiigl_pll/verilog.asm
test_pll/project/work/@m@f_cycloneiiigl_pll/verilog.rw
test_pll/project/work/@m@f_cycloneiiigl_pll/_primary.dat
test_pll/project/work/@m@f_cycloneiiigl_pll/_primary.dbs
test_pll/project/work/@m@f_cycloneiiigl_pll/_primary.vhd
test_pll/project/work/@m@f_cycloneiiigl_scale_cntr/verilog.asm
test_pll/project/work/@m@f_cycloneiiigl_scale_cntr/verilog.rw
test_pll/project/work/@m@f_cycloneiiigl_scale_cntr/_primary.dat
test_pll/project/work/@m@f_cycloneiiigl_scale_cntr/_primary.dbs
test_pll/project/work/@m@f_cycloneiiigl_scale_cntr/_primary.vhd
test_pll/project/work/@m@f_cycloneiii_pll/verilog.asm
test_pll/project/work/@m@f_cycloneiii_pll/verilog.rw
test_pll/project/work/@m@f_cycloneiii_pll/_primary.dat
test_pll/project/work/@m@f_cycloneiii_pll/_primary.dbs
test_pll/project/work/@m@f_cycloneiii_pll/_primary.vhd
test_pll/project/work/@m@f_pll_reg/verilog.asm
test_pll/project/work/@m@f_pll_reg/verilog.rw
test_pll/project/work/@m@f_pll_reg/_primary.dat
test_pll/project/work/@m@f_pll_reg/_primary.dbs
test_pll/project/work/@m@f_pll_reg/_primary.vhd
test_pll/project/work/@m@f_stratixiii_pll/verilog.asm
test_pll/project/work/@m@f_stratixiii_pll/verilog.rw
test_pll/project/work/@m@f_stratixiii_pll/_primary.dat
test_pll/project/work/@m@f_stratixiii_pll/_primary.dbs
test_pll/project/work/@m@f_stratixiii_pll/_primary.vhd
test_pll/project/work/@m@f_stratixii_pll/verilog.asm
test_pll/project/work/@m@f_stratixii_pll/verilog.rw
test_pll/project/work/@m@f_stratixii_pll/_primary.dat
test_pll/project/work/@m@f_stratixii_pll/_primary.dbs
test_pll/project/work/@m@f_stratixii_pll/_primary.vhd
test_pll/project/work/@m@f_stratix_pll/verilog.asm
test_pll/project/work/@m@f_stratix_pll/verilog.rw
test_pll/project/work/@m@f_stratix_pll/_primary.dat
test_pll/project/work/@m@f_stratix_pll/_primary.dbs
test_pll/project/work/@m@f_stratix_pll/_primary.vhd
test_pll/project/work/alt3pram/_primary.dat
test_pll/project/work/alt3pram/_primary.dbs
test_pll/project/work/alt3pram/_primary.vhd
test_pll/project/work/altaccumulate/_primary.dat
test_pll/project/work/altaccumulate/_primary.dbs
test_pll/project/work/altaccumulate/_primary.vhd
test_pll/project/work/altclklock/_primary.dat
test_pll/project/work/altclklock/_primary.dbs
test_pll/project/work/altclklock/_primary.vhd
test_pll/project/work/altddio_bidir/_primary.dat
test_pll/project/work/altddio_bidir/_primary.dbs
test_pll/project/work/altddio_bidir/_primary.vhd
test_pll/project/work/altddio_in/_primary.dat
test_pll/project/work/altddio_in/_primary.dbs
test_pll/project/work/altddio_in/_primary.vhd
test_pll/project/work/altddio_out/_primary.dat
test_pll/project/work/altddio_out/_primary.dbs
test_pll/project/work/altddio_out/_primary.vhd
test_pll/project/work/altdpram/_primary.dat
test_pll/project/work/altdpram/_primary.dbs
test_pll/project/work/altdpram/_primary.vhd
test_pll/project/work/altera_pll/_primary.dat
test_pll/project/work/altera_pll/_primary.dbs
test_pll/project/work/altera_pll/_primary.vhd
test_pll/project/work/altera_std_synchronizer/_primary.dat
test_pll/project/work/altera_std_synchronizer/_primary.dbs
test_pll/project/work/altera_std_synchronizer/_primary.vhd
test_pll/project/work/altera_std_synchronizer_bundle/_primary.dat
test_pll/project/work/altera_std_synchronizer_bundle/_primary.dbs
test_pll/project/work/altera_std_synchronizer_bundle/_primary.vhd
test_pll/project/work/altfp_mult/_primary.dat

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com